Patents Issued in February 8, 2011
  • Patent number: 7883974
    Abstract: A method of manufacturing a semiconductor device includes forming a trench in an interlayer dielectric film on the semiconductor substrate, the trench reaching a semiconductor substrate and having a sidewall made of silicon nitride film; depositing a gate insulation film made of a HfSiO film at a temperature within a range of 200 degrees centigrade to 260 degrees centigrade, so that the HfSiO film is deposited on the semiconductor substrate which is exposed at a bottom surface of the trench without depositing the HfSiO film on the silicon nitride film; and filling the trench with a gate electrode made of metal.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: February 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Takuya Kobayashi, Katsuyuki Sekine, Tomonori Aoyama, Hiroshi Tomita
  • Patent number: 7883975
    Abstract: A method for fabricating a non-volatile memory is provided. The method includes a stacked structure and a consuming layer are formed in sequence over a substrate. A converting process is performed at a peripheral region of the consuming layer to form a first insulating layer. A conductive layer is formed over the stacked layer and the first insulating layer.
    Type: Grant
    Filed: November 10, 2009
    Date of Patent: February 8, 2011
    Assignee: MACRONIX International Co., Ltd.
    Inventor: Ming-Chang Kuo
  • Patent number: 7883976
    Abstract: A semiconductor device and method for manufacturing the device with a planar halo profile is provided. The semiconductor device can be a MOSFET. The method of forming the structure includes forming an angled spacer adjacent a gate structure and implanting a halo implant at an angle to form a halo profile having low dopant concentration near a gate dielectric under the gate structure. The structure includes an underlying wafer or substrate and an angled gate spacer having an upper portion and an angled lower portion. The upper portion is structured to prevent halo dopants from penetrating an inversion layer of the structure. The structure further includes a low concentration halo dopant within a channel of a gate structure.
    Type: Grant
    Filed: December 13, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Huilong Zhu, Jing Wang
  • Patent number: 7883977
    Abstract: The present invention is a method for forming super steep doping profiles in MOS transistor structures. The method comprises forming a carbon containing layer (110) beneath the gate dielectric (50) and source and drain regions (80) of a MOS transistor. The carbon containing layer (110) will prevent the diffusion of dopants into the region (40) directly beneath the gate dielectric layer (50).
    Type: Grant
    Filed: January 20, 2009
    Date of Patent: February 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Jeffrey A. Babcock, Angelo Pinto, Scott Balster, Alfred Haeusler, Gregory E. Howard
  • Patent number: 7883978
    Abstract: Disclosed are a semiconductor device and a method for manufacturing the same. The method includes forming a gate layer on a semiconductor substrate; forming a first oxide layer on the semiconductor substrate; forming a second oxide layer on the first oxide layer; exposing the first oxide layer by removing the second oxide layer other than on side surfaces of the gate layer by etching using a photoresist as a mask; and forming junctions in source/drain regions by implanting a high concentration of N-type ions and/or a high concentration of P-type ions using the second oxide layer as a sidewall mask.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Sung Jin Kim
  • Patent number: 7883979
    Abstract: A semiconductor device includes a substrate, a first device situated on the substrate, the first device including a source and a drain each situated extending a first depth within the substrate, and a second device situated on the substrate, the second device including a source and a drain each situated extending a second depth within the substrate, the second depth not equal to the first depth.
    Type: Grant
    Filed: October 26, 2004
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Hung-Wei Chen, Zhong Tang Xuan, Shui-Ming Cheng, Sheng-Da Liu
  • Patent number: 7883980
    Abstract: A transistor includes a semiconductor channel disposed nearby a gate and in an electrical path between a source and a drain, wherein the channel and at least one of the source or the drain are separated by an interface layer so as to form a channel-interface layer-source/drain junction in which a Fermi level of the semiconductor channel is depinned in a region near the junction and the junction has a specific contact resistance of less than approximately 1000 ?-?m2. The interface layer may include a passivating material such as a nitride, a fluoride, an oxide, an oxynitride, a hydride and/or an arsenide of the semiconductor of the channel. In some cases, the interface layer consists essentially of a monolayer configured to depin the Fermi level of the semiconductor of the channel, or an amount of passivation material sufficient to terminate all or a sufficient number of dangling bonds of the semiconductor channel to achieve chemical stability of the surface.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: February 8, 2011
    Assignee: Acorn Technologies, Inc.
    Inventors: Daniel E. Grupp, Daniel J. Connelly
  • Patent number: 7883981
    Abstract: Embodiments relate to a flash memory device and a method for manufacturing a flash memory device. According to embodiments, a method may include forming a gate on and/or over a semiconductor substrate on and/or over which a device isolation film may be formed, forming a first spacer including a first oxide pattern and a first nitride pattern on and/or over side walls of the gate, forming a source and drain area on and/or over the semiconductor substrate using the gate and spacer as masks, removing the first nitride pattern of the first spacer, and forming a second spacer including a second oxide film pattern and a second nitride film pattern on and/or over the side walls of the gate by performing an annealing process on and/or over the semiconductor substrate on and/or over which the first oxide film pattern is formed.
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Dong-Oog Kim
  • Patent number: 7883982
    Abstract: A plurality of diffused resistors and a plurality of wirings (resistive elements) are alternately disposed along a virtual line, and those diffused resistors and wirings are connected in series by contact vias. In the same wiring layer as that of the wirings, a dummy pattern is formed so as to surround a formation region of the wirings and the diffused resistors. A space between the dummy pattern and the wirings is set in accordance with, for example, a minimum space between wirings in a chip formation portion.
    Type: Grant
    Filed: March 5, 2009
    Date of Patent: February 8, 2011
    Assignee: Fujitsu Semiconductor Limited
    Inventors: Sachie Tone, Hiroyuki Uno, Naoki Tanahashi, Naoki Nishida
  • Patent number: 7883983
    Abstract: A method of manufacturing a semiconductor device, includes: forming a gate insulating film on a semiconductor substrate; forming a first metal film on the gate insulating film; forming a second metal film on the first metal film; and patterning a stacked film of the first and second metal films such that the stacked film is left in a gate electrode formation region and a resistive element formation region. The method further includes: removing the second metal film in the resistive element formation region with protecting a contact hole formation region. The method further includes: forming an interlayer insulating film so as to cover the stacked film; and removing the interlayer insulating film formed in the contact hole formation region to form a contact hole leading to the second metal film.
    Type: Grant
    Filed: May 18, 2009
    Date of Patent: February 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Takashi Hase
  • Patent number: 7883984
    Abstract: A method of manufacturing a flash memory device may include forming a trench, defining at least a common source region, on a semiconductor substrate, forming a gate poly over the semiconductor substrate, performing an ion implantation process employing a first photoresist pattern and the gate poly as a mask, wherein the ion implantation process forms a source/drain junction on the semiconductor substrate, forming a recess common source region in the trench by using a second photoresist pattern, and performing an ion implantation process on the recess common source region.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Ji-Hwan Park
  • Patent number: 7883985
    Abstract: The chip for the multi-chip semiconductor device having the markings for alignment formed on the front surface and/or the back surface of the chip only by the processing from the front surface of the chip (photolithography, etch) and the method for manufacturing same are presented, without adding any dedicated process step to the formation process for the marking for alignment. In the chip for the multi-chip semiconductor device having two or more electroconductive through plug in one chip for the multi-chip semiconductor device, one or more electroconductive through plugs are employed for the marking for alignment, and the chip is configured to allow identification of the marking for alignment on the front surface and/or the back surface of the chip for the multi-chip semiconductor device. Then, an insulating film is provided on the front surface and/or the back surface of the electrically conducting through plug.
    Type: Grant
    Filed: September 11, 2006
    Date of Patent: February 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventor: Satoshi Matsui
  • Patent number: 7883986
    Abstract: This invention includes methods of forming trench isolation. In one implementation, isolation trenches are provided within a semiconductor substrate. A liquid is deposited and solidified within the isolation trenches to form a solidified dielectric within the isolation trenches. The dielectric comprises carbon and silicon, and can be considered as having an elevationally outer portion and an elevationally inner portion within the isolation trenches. At least one of carbon removal from and/or oxidation of the outer portion of the solidified dielectric occurs. After such, the dielectric outer portion is etched selective to and effective to expose the dielectric inner portion. After the etching, dielectric material is deposited over the dielectric inner portion to within the isolation trenches.
    Type: Grant
    Filed: October 1, 2009
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Li Li
  • Patent number: 7883987
    Abstract: Semiconductor devices and methods of manufacture thereof are disclosed. In a preferred embodiment, a semiconductor device includes a workpiece and a trench formed within the workpiece. The trench has an upper portion and a lower portion, the upper portion having a first width and the lower portion having a second width, the second width being greater than the first width. A first material is disposed in the lower portion of the trench at least partially in regions where the second width of the lower portion is greater than the first width of the upper portion. A second material is disposed in the upper portion of the trench and at least in the lower portion of the trench beneath the upper portion.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: February 8, 2011
    Assignee: Infineon Technologies AG
    Inventors: Armin Tilke, Frank Huebinger, Hermann Wendt
  • Patent number: 7883988
    Abstract: One surface of a single crystal semiconductor substrate is irradiated with ions to form a damaged region in the single crystal semiconductor substrate. An insulating layer is formed over the one surface of the single crystal semiconductor substrate. A surface of a substrate having an insulating surface and a surface of the insulating layer are disposed in contact with each other to bond the substrate having the insulating surface and the single crystal semiconductor substrate to each other. Heat treatment is performed to divide the single crystal semiconductor substrate along the damaged region and to form a semiconductor layer over the substrate having the insulating surface. One surface of the semiconductor layer is irradiated with light from a flash lamp under conditions where the semiconductor layer is not melted, to repair a defect.
    Type: Grant
    Filed: May 20, 2009
    Date of Patent: February 8, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Koichiro Tanaka
  • Patent number: 7883989
    Abstract: It is an object of the invention to provide a peeling method which does not damage a peeling layer, and to perform peeling not only a peeling layer having a small-size area but also an entire peeling layer having a large-size area with a preferable yield. In the invention, after pasting a fixing substrate, a part of a glass substrate is removed by scribing or performing laser irradiation on the glass substrate which leads to providing a trigger. Then, peeling is performed with a preferable yield by performing peeling from the removed part. In addition, a crack is prevented by covering the entire face except for a connection portion of a terminal electrode (including a periphery region of the terminal electrode) with a resin.
    Type: Grant
    Filed: October 14, 2009
    Date of Patent: February 8, 2011
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Yuugo Goto, Yumiko Fukumoto, Toru Takayama, Junya Maruyama, Takuya Tsurume
  • Patent number: 7883990
    Abstract: A method of forming a semiconductor-on-insulator (SOI) substrate using a thermal annealing process to provide a semiconductor base wafer having a thin high resistivity surface layer that is positioned at the interface with the buried insulating layer is provided. Specifically, the inventive method fabricates an a semiconductor-on-insulator (SOI) substrate having an SOI layer and a semiconductor base wafer that are separated, at least in part, by a buried insulating layer, wherein the semiconductor base wafer includes a high resistivity (HR) surface layer located on a lower resistivity semiconductor portion of the semiconductor base wafer, and the HR surface layer forms an interface with the buried insulating layer.
    Type: Grant
    Filed: October 31, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Max Levy, Dale Martin, Gerd Pfeiffer, James A. Slinkman
  • Patent number: 7883991
    Abstract: A method of bonding and detaching a temporary carrier used for handling a wafer during the fabrication of semiconductor devices includes bonding a wafer onto a carrier through a first adhesive layer and a second adhesive layer, in which the edge zone of the wafer and the carrier is covered by the first adhesive layer while the edge zone is not covered by the second adhesive layer. A wafer edge clean process is then performed to remove the first adhesive layer adjacent the edge of the wafer and expose the edge zone of the carrier, followed by removing the second adhesive layer from the carrier. After detaching the carrier from the wafer, the first adhesive layer remaining on the wafer is removed.
    Type: Grant
    Filed: February 18, 2010
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Wen-Jin Wu, Wen-Chih Chiou, Shau-Lin Shue
  • Patent number: 7883992
    Abstract: A laser beam is applied to an intersection area of each second street of a wafer by using a dicing apparatus to thereby form a first modified layer along the intersection area. Thereafter, the wafer is divided along each first street intersecting each second street at right angles to obtain a plurality of wafer strips. Thereafter, the laser beam is applied along the remaining area of each second street other than the intersection area to form a second modified layer along the remaining area of each second street. Thereafter, an external force is applied to each wafer strip in which the first and second modified layers have been formed along each second street, thereby dividing each wafer strip along each second street to obtain a plurality of devices.
    Type: Grant
    Filed: November 21, 2008
    Date of Patent: February 8, 2011
    Assignee: Disco Corporation
    Inventor: Kenji Furuta
  • Patent number: 7883993
    Abstract: The invention relates to a semiconductor device with a semiconductor chip and a rewiring layer, the semiconductor chip being embedded in a housing plastics composition by its rear side contact. The active top side of the semiconductor chip forms a coplanar overall top side with the top side of the housing plastics composition. The rear side contact is led to the overall top side via a flat conductor sheet tape, so that the rear side contact of the semiconductor chip can be accessed from the overall top side.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: February 8, 2011
    Assignee: Infineon Technologies AG
    Inventors: Edward Fuergut, Hermann Vilsmeier, Holger Woerner
  • Patent number: 7883994
    Abstract: A process for transferring a thin film includes forming a layer of inclusions to create traps for gaseous compounds. The inclusions can be in the form of one or more implanted regions that function as confinement layers configured to trap implanted species. Further, the inclusions can be in the form of one or more layers deposited by a chemical vapor deposition, epitaxial growth, ion sputtering, or a stressed region or layer formed by any of the aforementioned processes. The inclusions can also be a region formed by heat treatment of an initial support or by heat treatment of a layer formed by any of the aforementioned processes, or by etching cavities in a layer. In a subsequent step, gaseous compounds are introduced into the layer of inclusions to form micro-cavities that form a fracture plane along which the thin film can be separated from a remainder of the substrate.
    Type: Grant
    Filed: May 11, 2007
    Date of Patent: February 8, 2011
    Assignee: Commissariat A l'Energie Atomique
    Inventors: Hubert Moriceau, Michel Bruel, Bernard Aspar, Christophe Maleville
  • Patent number: 7883995
    Abstract: A novel top-down procedure for synthesis of stable passivated nanoparticles uses a one-step mechanochemical process to form and passivate the nanoparticles. High-energy ball milling (HEBM) can advantageously be used to mechanically reduce the size of material to nanoparticles. When the reduction of size occurs in a reactive medium, the passivation of the nanoparticles occurs as the nanoparticles are formed. This results in stable passivated silicon nanoparticles. This procedure can be used, for example in the synthesis of stable alkyl- or alkenyl-passivated silicon and germanium nanoparticles. The covalent bonds between the silicon or germanium and the carbon in the reactive medium create very stable nanoparticles.
    Type: Grant
    Filed: June 2, 2008
    Date of Patent: February 8, 2011
    Assignee: The Administrators of the Tulane Educational Fund
    Inventors: Brian S. Mitchell, Mark J. Fink, Andrew S. Heintz
  • Patent number: 7883996
    Abstract: A method of fabricating a single crystal gallium nitride substrate the step of cutting an ingot of single crystal gallium nitride along predetermined planes to make one or more single crystal gallium nitride substrates. The ingot of single crystal gallium nitride is grown by vapor phase epitaxy in a direction of a predetermined axis. Each predetermined plane is inclined to the predetermined axis. Each substrate has a mirror polished primary surface. The primary surface has a first area and a second area. The first area is between an edge of the substrate and a line 3 millimeter away from the edge. The first area surrounds the second area. An axis perpendicular to the primary surface forms an off-angle with c-axis of the substrate. The off-angle takes a minimum value at a first position in the first area of the primary surface.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: February 8, 2011
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventor: Masaki Ueno
  • Patent number: 7883997
    Abstract: A solid-phase sheet growing substrate (100) includes a main surface (1) and a side surface (2A, 2B) surrounding the main surface (1). The main surface (1) is divided by a peripheral groove (10A) into a surrounding portion (12) located at the outer side of the peripheral groove (10A) and an inner portion (11) located at the inner side of the peripheral groove (10A), and a slit groove (2) separated from the peripheral groove (10A) is formed on the side surface (2A) of the surrounding portion (12).
    Type: Grant
    Filed: May 24, 2007
    Date of Patent: February 8, 2011
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Koji Yoshida
  • Patent number: 7883998
    Abstract: It is to provide a vapor phase growth method in which an epitaxial layer consisting of a compound semiconductor such as InAlAs, can be grown, with superior reproducibility, on a semiconductor substrate such as Fe-doped InP. In vapor phase growth method for growing an epitaxial layer on a semiconductor substrate, a resistivity of the semiconductor substrate at a room temperature is previously measured, a set temperature of the substrate is controlled depending on the resistivity at the room temperature such that a surface temperature of the substrate is a desired temperature regardless of the resistivity of the semiconductor substrate, and the epitaxial layer is grown.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: February 8, 2011
    Assignee: Nippon Mining & Metals Co., Ltd.
    Inventors: Masashi Nakamura, Suguru Oota, Ryuichi Hirano
  • Patent number: 7883999
    Abstract: A method for infusing material below the surface of a substrate is described. The method comprises modifying a surface condition of a surface on a substrate to produce a modified surface layer, and thereafter, infusing material into the modified surface in the substrate by exposing the substrate to a gas cluster ion beam (GCIB) comprising the material.
    Type: Grant
    Filed: January 25, 2008
    Date of Patent: February 8, 2011
    Assignee: TEL Epion Inc.
    Inventors: Yan Shao, Thomas G. Tetreault, John J. Hautala
  • Patent number: 7884000
    Abstract: A method for manufacturing SIMOX wafer, wherein roughness (Rms) of an SOI layer and roughness (Rms) of an interface between the SOI layer and a BOX layer can be reduced. The method includes forming a first ion-implanted layer containing highly concentrated oxygen within a wafer; forming a second ion-implanted amorphous layer; and a high temperature heat treatment, transforming the first and second ion-implanted layers into a BOX layer by holding the wafer at a temperature between 1300° C. or more and a temperature less than a silicon melting point in an atmosphere containing oxygen, wherein when a first dose amount in forming the first ion-implanted layer is set to 2×1017 to 3×1017 atoms/cm2, the first implantation energy set to 165 to 240 keV and a second dose amount in forming the second ion-implanted layer is set to 1x1014 to 1x1016 atoms/cm2.
    Type: Grant
    Filed: April 3, 2007
    Date of Patent: February 8, 2011
    Assignee: Sumco Corporation
    Inventors: Yoshiro Aoki, Riyuusuke Kasamatsu, Yukio Komatsu
  • Patent number: 7884001
    Abstract: Embodiments relate to an image sensor and a method of manufacturing an image sensor. According to embodiments, an image sensor may include a gate over a semiconductor substrate, a first impurity region over the semiconductor substrate, a second impurity region over the semiconductor substrate, the second impurity region being shallower than the first impurity region, and a third impurity region formed in the first impurity region, and bent toward the gate at a predetermined angle. According to embodiments, the third impurity region may be an n-type impurity region. According to embodiments, an area of a photodiode may be increased and a transfer efficiency of electrons generated from a photodiode may be increased.
    Type: Grant
    Filed: December 27, 2008
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Joung-Ho Lee
  • Patent number: 7884002
    Abstract: A method of fabricating a self-aligned Schottky junction (29) in respect of a semiconductor device. After gate etching and spacer formation, a recess defining the junction regions is formed in the Silicon substrate (10) and a SiGe layer (22) is selectively grown therein. A dielectric layer (24) is then provided over the gate (14) and the SiGe layer (22), a contact etch is performed to form contact holes (26) and the SiGe material (22) is then removed to create cavities (28) in the junction regions. Finally the cavities (28) are filled with metal to form the junction (29). Thus, a process is provided for self-aligned fabrication of a Schottky junction having relatively low resistivity, wherein the shape and position of the junction can be well controlled.
    Type: Grant
    Filed: November 27, 2006
    Date of Patent: February 8, 2011
    Assignee: NXP B.V.
    Inventor: Markus Muller
  • Patent number: 7884003
    Abstract: An electrical device in which an interface layer is disposed between and in contact with a metal and a Si-based semiconductor, the interface layer being of a thickness effective to depin of the Fermi level of the semiconductor while still permitting current to flow between the metal and the semiconductor. The interface layer may include a layer of a passivating material (e.g., made from nitrogen, oxygen, oxynitride, arsenic, hydrogen and/or fluorine) and sometimes also includes a separation layer. In some cases, the interface layer may be a monolayer of a semiconductor passivating material. The interface layer thickness corresponds to a minimum specific contact resistance of less than or equal to 10 ?-?m2 or even less than or equal to 1 ?-?m2 for the electrical device.
    Type: Grant
    Filed: August 25, 2008
    Date of Patent: February 8, 2011
    Assignee: Acorn Technologies, Inc.
    Inventors: Daniel E. Grupp, Daniel J. Connelly
  • Patent number: 7884004
    Abstract: Semiconductor-based electronic devices and techniques for fabrication thereof are provided. In one aspect, a device is provided comprising a first pad; a second pad and a plurality of nanowires connecting the first pad and the second pad in a ladder-like configuration formed in a silicon-on-insulator (SOI) layer over a buried oxide (BOX) layer, the nanowires having one or more dimensions defined by a re-distribution of silicon from the nanowires to the pads. The device can comprise a field-effect transistor (FET) having a gate surrounding the nanowires wherein portions of the nanowires surrounded by the gate form channels of the FET, the first pad and portions of the nanowires extending out from the gate adjacent to the first pad form a source region of the FET and the second pad and portions of the nanowires extending out from the gate adjacent to the second pad form a drain region of the FET.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Sarunya Bangsaruntip, Guy Cohen, Jeffrey W. Sleight
  • Patent number: 7884005
    Abstract: Embodiments relate to a method of manufacturing a semiconductor device that may simplify a manufacturing process and may reduce process costs. According to embodiments, the method may include simultaneously forming a first gate of a first device area and a second gate of a second device area, patterning a PMD layer to form a first contact hole exposing the first gate, depositing and planarizing a high dielectric constant material and first and second metallic materials on the semiconductor substrate to expose PMD layer, forming an insulating layer, a metal layer and a third gate in the first contact hole, patterning the PMD layer to form a second contact hole exposing the second gate, and depositing a third metallic material on the semiconductor substrate and planarizing it such that the PMD layer is exposed, thereby forming a contact in the second contact hole.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: February 8, 2011
    Assignee: Dongbu HiTek Co., Ltd.
    Inventor: Kun Hyuk Lee
  • Patent number: 7884006
    Abstract: Resilient spring contacts for use in wafer test probing are provided that can be manufactured with a very fine pitch spacing and precisely located on a support substrate. The resilient contact structures are adapted for wire bonding to an electrical circuit on a space transformer substrate. The support substrates with attached spring contacts can be manufactured together in large numbers and diced up and tested before attachment to a space transformer substrate to improve yield. The resilient spring contacts are manufactured using photolithographic techniques to form the contacts on a release layer, before the spring contacts are epoxied to the support substrate and the release layer removed. The support substrate can be transparent to allow alignment of the contacts and testing of optical components beneath. The support substrate can include a ground plane provided beneath the spring contacts for improved impedance matching.
    Type: Grant
    Filed: December 2, 2008
    Date of Patent: February 8, 2011
    Assignee: FormFactor, Inc.
    Inventors: Benjamin N. Eldridge, Bruce Jeffrey Barbara
  • Patent number: 7884007
    Abstract: A wafer level package, and a semiconductor wafer, electronic system, and a memory module that include one or more of the wafer level packages, and methods of fabricating the die packages on a wafer level, and integrated circuit modules that include one or more packages are provided. In one embodiment, the die package comprises a redistribution layer interconnecting two or more dies disposed on a substrate, typically a semiconductor wafer, the redistribution layer including a first trace connecting a bond pad of each of two dies, and a second trace connecting one of the bond pads of the two dies to a ball pad. The die package of the invention can comprise memory devices such as static random access memories (SRAMs), and can be incorporated into a variety of electronic systems as part of a memory package such as single in line memory modules (SIMMs) or dual in line memory modules.
    Type: Grant
    Filed: July 30, 2007
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventors: Yong Poo Chia, Suan Jeung Boon, Siu Waf Low, Yong Loo Neo, Bok Leng Ser
  • Patent number: 7884008
    Abstract: A method of forming a semiconductor device including a semiconductor substrate with circuit elements and electrode pads formed on one surface. This surface is covered by a dielectric layer with openings above the electrode pads. A metal layer is deposited on the dielectric layer and patterned to form a conductive pattern with traces leading to the electrode pads. A protective layer having openings exposing part of the conductive pattern is formed. Each opening is covered by an electrode such as a solder bump, which is electrically connected through the conductive pattern to one of the electrode pads. The method enables the thickness of the protective layer, which may function as a package of the semiconductor device, to be reduced. The protective layer may be formed from a photosensitive material, simplifying the formation of the openings for the electrodes.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: February 8, 2011
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Kiyonori Watanabe
  • Patent number: 7884009
    Abstract: A semiconductor device with an improved solder joint system is described. The solder system includes two copper contact pads connected by a body of solder and the solder is an alloy including tin, silver, and at least one metal from the transition groups IIIA, IVA, VA, VIA, VIIA, and VIIIA of the Periodic Table of the Elements. The solder joint system also includes, between the pads and the solder, layers of intermetallic compounds, which include grains of copper and tin compounds and copper, silver, and tin compounds. The compounds contain the transition metals. The inclusion of the transition metals in the compound grains reduce the compound grains size and prevent grain size increases after the solder joint undergoes repeated solid/liquid/solid cycles.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: February 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventor: Masazumi Amagai
  • Patent number: 7884010
    Abstract: A wiring structure has a silicon layer, a backing layer provided on the silicon layer, the backing layer comprising a copper alloy containing a manganese, and a copper layer provided on the backing layer, and a diffusion barrier layer having an electrical conductivity, the diffusion barrier layer being provided at a region including an interface between the silicon layer and the backing layer, in which a manganese in the diffusion barrier layer is enriched compared with the backing layer.
    Type: Grant
    Filed: February 2, 2009
    Date of Patent: February 8, 2011
    Assignee: Hitachi Cable, Ltd.
    Inventors: Noriyuki Tatsumi, Tatsuya Tonogi
  • Patent number: 7884011
    Abstract: A seal ring is provided between a region where a circuit is formed on a semiconductor substrate and a dicing region. The seal ring has a portion where sealing layers of which the cross sectional form is in T-shape are layered and a portion where sealing layers of which the cross sectional form is rectangular are layered.
    Type: Grant
    Filed: March 10, 2010
    Date of Patent: February 8, 2011
    Assignee: Renesas Electronics Corporation
    Inventors: Noboru Morimoto, Masahiko Fujisawa, Daisuke Kodama
  • Patent number: 7884012
    Abstract: A method is provided for void-free copper (Cu) filling of recessed features in a semiconductor device. The method includes providing a patterned substrate containing a recessed feature, depositing a barrier film on the patterned substrate, including in the recessed feature, depositing a Ru metal film on the barrier film, and depositing a discontinuous Cu seed layer on the Ru metal film, where the Cu seed layer partially covers the Ru metal film in the recessed feature. The method further includes exposing the substrate to an oxidation source gas that oxidizes the Cu seed layer and the portion of the Ru metal film not covered by the Cu seed layer, heat-treating the oxidized Cu seed layer and the oxidized Ru metal film under high vacuum conditions or in the presence of an inert gas to activate the oxidized Ru metal film for Cu plating, and filling the recessed feature with bulk Cu metal.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: February 8, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kenji Suzuki, Tadahiro Ishizaka, Miho Jomen, Jonathan Rullan
  • Patent number: 7884013
    Abstract: A dual damascene structure with improved profiles and reduced defects and method of forming the same, the method including forming a first dielectric over a conductive area; forming a first dielectric insulator over the first dielectric; forming a first opening in the first dielectric insulator; lining the opening with a second dielectric; forming a second dielectric insulator over the first dielectric insulator; forming a second opening in the second dielectric insulator overlying and communicating with the first opening; and, filling the first and second openings with a conductive material to electrically communicate with the conductive area.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: February 8, 2011
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Uway Tseng, Alex Huang, Kun-Szu Liu
  • Patent number: 7884014
    Abstract: A method of forming a contact structure with a contact spacer and a method of fabricating a semiconductor device using the same. In the method of forming a contact structure, an interlayer dielectric layer is formed on a semiconductor substrate. The interlayer dielectric layer is patterned, thereby forming a contact hole for exposing a predetermined region of the semiconductor substrate. A contact spacer is formed on a sidewall of the contact hole using a deposition method having an inclined deposition direction with respect to a main surface of the semiconductor substrate. The deposition direction may be set between the main surface and a normal with respect to the main surface. Further, there is provided a method of fabricating a semiconductor device using the method of forming the contact structure.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: February 8, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yoon-Taek Jang
  • Patent number: 7884015
    Abstract: Methods for forming interconnects in microelectronic workpieces and microelectronic workpieces formed using such methods are disclosed herein. One embodiment, for example, is directed to a method of processing a microelectronic workpiece including a semiconductor substrate having a plurality of microelectronic dies. The individual dies include integrated circuitry and a terminal electrically coupled to the integrated circuitry. The method can include forming a first opening in the substrate from a back side of the substrate toward a front side and in alignment with the terminal. The first opening has a generally annular cross-sectional profile and separates an island of substrate material from the substrate. The method can also include depositing an insulating material into at least a portion of the first opening, and then removing the island of substrate material to form a second opening aligned with at least a portion of the terminal.
    Type: Grant
    Filed: December 6, 2007
    Date of Patent: February 8, 2011
    Assignee: Micron Technology, Inc.
    Inventor: Marc Sulfridge
  • Patent number: 7884016
    Abstract: In some embodiments, a low-k dielectric film liner, preferably comprising benzocyclobutene, is deposited on the sidewalls of through-silicon vias used in three-dimensional (3-D) integration of integrated circuits. A semiconductor workpiece having a via is provided. A dielectric film liner, preferably comprising benzocyclobutene, is deposited on the sidewalls of the via by chemical vapor deposition. Following the deposition of the dielectric film liner, conductive material is deposited into the via. The conductive material on the bottom of the via can be exposed by thinning the back of the semiconductor workpiece, thereby forming a through-silicon via. The semiconductor workpiece can form a stack with one or more additional semiconductor workpieces having vias filled with conductive material to form a 3-D integrated circuit. The conductive material electrically interconnects the integrated circuits at different levels of the stack.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: February 8, 2011
    Assignee: ASM International, N.V.
    Inventors: Hessel Sprey, Akinori Nakano
  • Patent number: 7884017
    Abstract: Methods for cleaning semiconductor wafers following chemical mechanical polishing are provided. An exemplary method exposes a wafer to a thermal treatment in an oxidizing environment followed by a thermal treatment in a reducing environment. The thermal treatment in the oxidizing environment both removes residues and oxidizes exposed copper surfaces to form a cupric oxide layer. The thermal treatment in the reducing environment then reduces the cupric oxide to elemental copper. This leaves the exposed copper clean and in condition for further processing, such as electroless plating.
    Type: Grant
    Filed: February 3, 2010
    Date of Patent: February 8, 2011
    Assignee: Lam Research Corporation
    Inventors: Zhonghui Alex Wang, Tiruchirapalli Arunagiri, Fritz C. Redeker, Yezdi Dordi, John Boyd, Mikhail Korolik, Arthur M. Howald, William Thie, Praveen Nalla
  • Patent number: 7884018
    Abstract: A method of forming a noble metal cap on a conductive material embedded in a dielectric material in an interconnect structure. The method includes the step of contacting (i) a conductive material having a bare upper surface partially embedded in a dielectric material and (ii) vapor of a noble metal containing compound, in the presence of carbon monoxide and a carrier gas. The contacting step is carried out at a temperature, pressure and for a length of time sufficient to produce a noble metal cap disposed directly on the upper surface of the conductive material without substantially extending into upper surface of the dielectric material or leaving a noble metal residue onto the dielectric material.
    Type: Grant
    Filed: September 6, 2007
    Date of Patent: February 8, 2011
    Assignee: International Business Machines Corporation
    Inventors: Fenton R. McFeely, Chih-Chao Yang
  • Patent number: 7884019
    Abstract: A method of forming a dual-damascene structure is disclosed. A lower dielectric hardmask layer and an upper dielectric hardmask layer are deposited on an ultra low-k film. A first via is formed in the upper hardmask layer. Next, a first trench is formed using the tri-layer resist scheme. Finally, a full via and a full trench are formed simultaneously. An optional etch-stop layer can be used in the ultra low-k layer to control trench depth.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: February 8, 2011
    Assignee: Texas Instruments Incorporated
    Inventors: Ping Jiang, William W. Dostalik, Yong Seok Choi
  • Patent number: 7884020
    Abstract: A polishing cloth used in the chemical mechanical polishing treatment comprises a molded body of (meth)acrylic copolymer having an acid value of 10 to 100 mg KOH/g and a hydroxyl group value of 50 to 150 mg KOH/g.
    Type: Grant
    Filed: September 28, 2007
    Date of Patent: February 8, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Hideaki Hirabayashi, Naoaki Sakurai, Akiko Saito, Koji Sato, Tomiho Yamada
  • Patent number: 7884021
    Abstract: A method for fabricating a micro structure includes disposing a sacrificial material in a recess formed in a lower layer and forming a layer of compensatory material on the sacrificial material in the recess. The compensatory material is higher than the upper surface of the lower layer. A first portion of the compensatory material is removed to form a substantially flat surface on the sacrificial material. The substantially flat surface is substantially co-planar with the upper surface of the lower layer. An upper layer is formed on the lower layer and the substantially flat surface.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: February 8, 2011
    Assignee: Spartial Photonics, Inc.
    Inventors: Shaoher X. Pan, Chii Guang Lee
  • Patent number: 7884022
    Abstract: Pitch multiplication is performed using a two step process to deposit spacer material on mandrels. The precursors of the first step react minimally with the mandrels, forming a barrier layer against chemical reactions for the deposition process of the second step, which uses precursors more reactive with the mandrels. Where the mandrels are formed of amorphous carbon and the spacer material is silicon oxide, the silicon oxide is first deposited by a plasma enhanced deposition process and then by a thermal chemical vapor deposition process. Oxygen gas and plasma-enhanced tetraethylorthosilicate (TEOS) are used as reactants in the plasma enhanced process, while ozone and TEOS are used as reactants in the thermal chemical vapor deposition process. The oxygen gas is less reactive with the amorphous carbon than ozone, thereby minimizing deformation of the mandrels caused by oxidation of the amorphous carbon.
    Type: Grant
    Filed: January 19, 2007
    Date of Patent: February 8, 2011
    Assignee: Round Rock Research, LLC
    Inventors: Jingyi Bai, Gurtej S Sandhu, Shuang Meng
  • Patent number: 7884023
    Abstract: An electronic apparatus is disclosed that comprises a silicon nitride material that has an increased silicon content. The silicon nitride material is manufactured by exposing plasma enhanced chemical vapor deposition (PECVD) silicon nitride to an increased flow of silane while the PECVD silicon nitride is being deposited. The material has anti-reflective coating (ARC) properties and can also be used as a hard mask. When the material is covered with cobalt the material forms conductive cobalt silicide when the cobalt is annealed. A method for siliciding the PECVD silicon nitride is also disclosed.
    Type: Grant
    Filed: September 12, 2005
    Date of Patent: February 8, 2011
    Assignee: National Semiconductor Corporation
    Inventors: Heather E. McCulloh, Patrick McCarthy, Steven J. Adler, Henry G. Prosack, Jr.