Patents Issued in July 4, 2017
-
Patent number: 9697967Abstract: A rotary knob assembly capable of up-and-down motion is provided. The rotary knob assembly includes a lower case with an oil damper; a rotary sleeve rotatably disposed in the lower case with a connecting hole; a slide cam that moves linearly with respect to the lower case with a pair of cam grooves and a sleeve hole; an elastic member disposed between the slide cam and the lower case; an up-and-down moving sleeve that moves up and down with respect to the rotary sleeve with a pair of up-and-down cams inserted in the pair of cam grooves of the slide cam; a rotary knob that is rotatably connected to the up-and-down moving sleeve; and an upper case connected to the lower case to limit vertical movement of the rotary knob, wherein a moving speed of the slide cam is controlled by the oil damper.Type: GrantFiled: April 17, 2015Date of Patent: July 4, 2017Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Jae-kab Seo, Heyun-moon Bang, Jae-Yong Eum, Ho-sun Lee
-
Patent number: 9697968Abstract: This electrical circuit breaker includes at least one first fixed land, a support assembly equipped with at least one second land rotationally mobile, about a main axis, between a first position in which the second land is in contact with the first land and a second position in which the second land is separated from the first land and an arc-extinguishing chamber including a stacking of plates, a top arc-guiding horn, a bottom arc-guiding horn, equipped with at least one tab and a screen made of insulating material surrounding the bottom arc-guiding horn. The circuit breaker also includes two protuberances produced in a gas-producing material, which are mounted on the screen, arranged between the bottom horn and the top arc-guiding horn and facing the tab of the bottom arc-guiding horn, the protuberances having a prismatic or pseudo-prismatic form.Type: GrantFiled: July 13, 2016Date of Patent: July 4, 2017Assignee: SCHNEIDER ELECTRIC INDUSTRIES SASInventors: Marc Rival, Hubert Roelandt, Stephane Dye
-
Patent number: 9697969Abstract: Disclosed is a fuse resistor provided on an electrical circuit to protect the electrical circuit and elements. The fuse resistor includes a substrate on which first and second resistive terminals and fuse terminals are formed, first and second resistive elements surface-mounted on the first and second resistive terminals and dividing applied current or voltage, and a temperature fuse surface-mounted on the fuse terminals and broken by heat generated from the first and second resistive elements. If overcurrent or overvoltage is applied, the first and second resistive elements generate heat and the temperature fuse is broken by the generated heat.Type: GrantFiled: March 12, 2015Date of Patent: July 4, 2017Assignee: Smart Electronics Inc.Inventors: Doo Won Kang, Hyun Chang Kim, Hwang Je Mun, A Lam Shin
-
Patent number: 9697970Abstract: The present invention discloses a protective structure, plug, socket and method assuring a live wire and a neutral wire to be powered off simultaneously when overheating. The present invention limits a live wire spring plate from contacting with a live wire conductive plate, and a neutral wire spring plate from contacting with a neutral wire conductive plate, such that when the live wire spring plate and the live wire conductive plate are overheated or the neutral wire spring plate and the neutral wire conductive plate are overheated, the live wire spring plate, the live wire conductive plate, the neutral wire spring plate and the neutral wire conductive plate can be separated apart at a same time.Type: GrantFiled: August 10, 2016Date of Patent: July 4, 2017Assignee: GREEN IDEA TECH INC.Inventor: Hsiang-Yun I
-
Patent number: 9697971Abstract: Disclosed herein are an apparatus for controlling a solenoid valve and a control method thereof. The apparatus for controlling the solenoid valve, which controls the solenoid valve including a solenoid coil, includes a switching part configured to switch a current supplied to the solenoid coil; a pre-driver configured to output a driving signal for driving the switching part; and a microcontroller unit (MCU) configured to control the pre-driver so that a frequency of the driving signal output from the pre-driver to the switching part is randomly varied.Type: GrantFiled: May 19, 2015Date of Patent: July 4, 2017Assignee: MANDO CORPORATIONInventor: Soo-Hyun Ko
-
Patent number: 9697972Abstract: A switching electrical power contactor having a bi-blade type switch, has ferrous plates attached to the blades to increase the current carrying capacity and reduce the resistance of the switch. The contacts of the switches are arranged in pairs with at least one pair of contacts being arranged to close before another pair of contacts.Type: GrantFiled: March 31, 2015Date of Patent: July 4, 2017Assignee: Johnson Electric International (UK) LimitedInventor: Richard Anthony Connell
-
Patent number: 9697973Abstract: A novel-structure electromagnetic relay containing a permanent magnet. At least three pairs of leading-out rods penetrate through a rectangular base, two pairs of leading-out rods are connected with the lower ends of four static spring plates respectively, an iron core and a coil framework are arranged at a diagonal position on the upper surface of the base, winding connectors of coils are connected with the other pair of leading-out rods respectively, the static spring plates are arranged at the other diagonal position on the upper surface of the base, a support is arranged at the top end of the coil framework, a pole face, a yoke and the permanent magnet are installed on the support, an armature, movable spring plates, the pole face and the yoke are located and connected through a middle shaft, and a sealing casing is covered outside the relay.Type: GrantFiled: October 15, 2013Date of Patent: July 4, 2017Assignee: HARBIN INSTITUTE OF TECHNOLOGYInventors: Huimin Liang, Yong Xie, Jiaxin You, Guoqiang Xie
-
Patent number: 9697974Abstract: A temperature-dependent switch has a temperature-dependent switching mechanism arranged in a housing having an upper part and a lower part. A first contact area is arranged on an inner side of the upper part and a second contact area is arranged internally in the lower part. The switching mechanism comprises a current transfer element, a bimetallic snap-action disc and a movable contact area. The moveable contact area is connected to the current transfer element and interacts with the first contact area, the bimetallic snap-action disc lifting off the movable contact area from the first contact area depending on the temperature of the bimetallic snap-action disc. A resistance ring is arranged between the upper part and the lower part and is electrically in series with the current transfer element between the first and second contact areas when the switch is in its closed state.Type: GrantFiled: June 9, 2015Date of Patent: July 4, 2017Assignee: THERMIK GERAETEBAU GMBHInventors: Rainer Mitschele, Hans-Christian Liehr
-
Patent number: 9697975Abstract: Circuit breakers with moving contacts having a rocking movement, e.g., heel-toe action, are configured to direct arcing across one of two (first and second) spaced apart contacts on a moving arm to an adjacent arc chute to thereby alleviate deterioration due to arcing and improve conductivity of the first moving contact over time.Type: GrantFiled: April 28, 2015Date of Patent: July 4, 2017Assignee: Eaton CorporationInventors: James Gerard Maloney, Luis Enrique Betances Sansur
-
Patent number: 9697976Abstract: An embodiment of a fuse module has been disclosed. The fuse module includes a housing and a fuse element assembly contained within the housing. The fuse element assembly includes at least one fuse element unit having a plurality of trigger mechanisms and a perforated strip electrically connected to the trigger mechanisms. Increased ampacity ratings in a more compact arrangement provides for fuse modules having increased current protection capability that, in turn, provides for improved disconnect switching capabilities.Type: GrantFiled: March 20, 2015Date of Patent: July 4, 2017Assignee: COOPER TECHNOLOGIES COMPANYInventors: Advait Madhusudan Katarki, Patrick Alexander von zur Muehlen, Robert Stephen Douglass
-
Patent number: 9697977Abstract: A magnetron includes a yoke, an anode unit including an anode cylinder, radially arranged vanes, and first and second pole pieces at both sides of the anode cylinder, a cathode unit having a filament spaced apart from the vanes, and an output unit having an antenna lead connected to one vane to radiate high-frequency microwaves. The first pole piece includes a first flat portion, a slope at an inner side of the first flat portion, a second flat portion at an inner side of the slope and having a diameter of 9.5˜10.5 mm, a first hole formed in the second flat portion and having a diameter of 8˜8.2 mm, and a second hole formed in the slope for penetration of the antenna lead. The magnetron achieves higher and stabilized efficiency, restricted oscillation efficiency variation, lower energy consumption, and improved load stability without deterioration of oscillation efficiency.Type: GrantFiled: September 24, 2014Date of Patent: July 4, 2017Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Seung Chul Yang, Dang Won Kim, Hak Jae Kim, Ki Whan Kim
-
Patent number: 9697978Abstract: A multi-frequency klystron has an electron gun which generates a beam, a circuit of bunch-align-collect (BAC) tuned cavities that bunch the beam and amplify an RF signal, a collector where the beam is collected and dumped, and a standard output cavity and waveguide coupled to a window to output RF power at a fundamental mode to an external load. In addition, the klystron has additional bunch-align-collect (BAC) cavities tuned to a higher harmonic frequency, and a harmonic output cavity and waveguide coupled via a window to an additional external load.Type: GrantFiled: June 13, 2016Date of Patent: July 4, 2017Assignee: The Board of Trustees of the Leland Stanford Junior UniversityInventor: Aaron Jensen
-
Patent number: 9697979Abstract: A microwave wave generator device with oscillating virtual cathode, with axial geometry, includes at least one first reflector positioned in a cylindrical waveguide downstream of a thin anode, positioned at the entrance of the cylindrical waveguide, between a cathode and the cylindrical waveguide. The device further includes a tight magnetic ring of width (LM) along the longitudinal axis (z), positioned externally around the cylindrical waveguide, between the thin anode and the first reflector.Type: GrantFiled: December 19, 2014Date of Patent: July 4, 2017Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVESInventors: Stephanie Champeaux, Philippe Gouard
-
Patent number: 9697980Abstract: A radiation generating apparatus includes a radiation generation tube including an electron emitting source having an electron emitting member, a transmission type target, a tubular backward shielding member having an electron passing hole facing the target layer at one end, located at the electron emitting source side of the transmission type target, and connected to the periphery of the base member. The radiation generating apparatus further includes a collimator having an opening for defining an angle for extracting the radiation at the opposite side of the electron emitting source side of the transmission type target, and an adjusting device connected to the collimator, and configured to vary an opening diameter of the opening, wherein the target layer has a portion separated from a connection portion of the base member and the backward shielding member at the periphery.Type: GrantFiled: August 26, 2013Date of Patent: July 4, 2017Assignee: Canon Kabushiki KaishaInventors: Takao Ogura, Ichiro Nomura, Kazuyuki Ueda, Takashi Shiozawa
-
Patent number: 9697981Abstract: A blanking system for multi charged particle beams includes a blanking aperture array device to include a first substrate where a plurality of openings corresponding to passage positions of multi-beams are formed in a penetrating manner from the upper surface, and a plurality of electrode groups each having a pair of electrodes which are close to a corresponding one of the plurality of openings and are at opposite sides, on a same surface, of the corresponding one of the plurality of openings are arranged on the first substrate, a second substrate whose lower surface is electrically connected through a bump to the upper surface of the first substrate, and a mounting substrate whose upper surface is electrically connected through a bump to the lower surface of the second substrate.Type: GrantFiled: October 9, 2015Date of Patent: July 4, 2017Assignee: NuFlare Technology, Inc.Inventor: Hiroshi Matsumoto
-
Patent number: 9697982Abstract: An ElectroMagnetic-Mechanical Pulser can generate electron pulses at rates up to 50 GHz, energies up to 1 MeV, duty cycles up to 10%, and pulse widths between 100 fs and 10 ps. A modulating Transverse Deflecting Cavity (“TDC”) imposes a transverse modulation on a continuous electron beam, which is then chopped into pulses by an adjustable Chopping Collimating Aperture. Pulse dispersion due to the modulating TDC is minimized by a suppressing section comprising a plurality of additional TDC's and/or magnetic quadrupoles. In embodiments the suppression section includes a magnetic quadrupole and a TDC followed by four additional magnetic quadrupoles. The TDC's can be single-cell or triple-cell. A fundamental frequency of at least one TDC can be tuned by literally or virtually adjusting its volume. TDC's can be filled with vacuum, air, or a dielectric or ferroelectric material. Embodiments are easily switchable between passive, continuous mode and active pulsed mode.Type: GrantFiled: April 6, 2016Date of Patent: July 4, 2017Assignee: Euclid Techlabs, LLCInventors: Sergey V Baryshev, Chunguang Jing, Jiaqi Qiu, Sergey Antipov, Gwanghui Ha, June W Lau, Yimei Zhu
-
Patent number: 9697983Abstract: An electron beam device for inspecting a sample with an electron beam is described. The electron beam device includes an electron beam source including a thermal field emitter, which includes an emitter tip having an emission facet configured for electron emission, wherein the emission facet has an emission facet width; and a first side facet and a second side facet, wherein an edge facet is formed between the first side facet and the second side facet, which has an edge facet width. The edge facet width is between 20% and 40% of the emission facet width. The electron beam source further includes an extractor device; and a heating device for heating the thermal field emitter. The electron beam device further includes electron beam optics and a detector device for detecting secondary charged particles generated at an impingement or hitting of the primary electron beam on the sample.Type: GrantFiled: February 29, 2016Date of Patent: July 4, 2017Assignee: ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbHInventors: Stefan Lanio, Aleksandra Kramer, John Breuer
-
Patent number: 9697984Abstract: A charged particle filter includes a magnetic deflector having a bore along an axis thereof passing through the magnetic deflector from a sample end to a detector end of the magnetic deflector, and through which bore charged particles pass when in use, the magnetic deflector being formed from two magnets positioned around the bore, with a gap between the two magnets, the two magnets each having a linear central section and two ends, each end forming a curved surface, the curved surface having an aspect ratio defined by a height in a range of between one tenth and ten times the gap between the two magnets, and a width in a range of between one tenth and ten times the gap.Type: GrantFiled: October 28, 2015Date of Patent: July 4, 2017Assignee: Thermo Electron Scientific Instruments LLCInventor: Alan Ronemus
-
Patent number: 9697985Abstract: The invention relates to an apparatus for inspecting a surface of a sample, wherein the apparatus comprises: at least one charged particle source for generating an array of primary charged particle beams, a condenser lens for directing all charged particle beams to a common cross-over, a lens system for directing the primary charged particle beams from the common cross-over towards the sample surface and for focusing all primary charged particle beams into an array of individual spots on the sample surface, and a position sensitive secondary electron detector positioned at least substantially in or near a plane comprising said common cross-over.Type: GrantFiled: June 12, 2013Date of Patent: July 4, 2017Assignee: Technische Universiteit DelftInventors: Pieter Kruit, Ali Mohammadi-Gheidari, Yan Ren
-
Patent number: 9697986Abstract: The present invention provides apparatus for an imaging system comprising a multitude of imaging elements upon a substrate. In some embodiments the substrate may be approximately round with a radius of approximately one inch. Various methods relating to using and producing an imaging system are discussed.Type: GrantFiled: December 15, 2016Date of Patent: July 4, 2017Inventor: Frederick A. Flitsch
-
Patent number: 9697987Abstract: The scanning charged particle beam microscope according to the present invention is characterized in that, in acquiring an image of the FOV (field of view), interspaced beam irradiation points are set, and then, a deflector is controlled so that a charged particle beam scan is performed faster when the charged particle beam irradiates a position on the sample between each of the irradiation points than when the charged particle beam irradiates a position on the sample corresponding to each of the irradiation points (a position on the sample corresponding to each pixel detecting a signal). This allows the effects from a micro-domain electrification occurring within the FOV to be mitigated or controlled.Type: GrantFiled: June 11, 2014Date of Patent: July 4, 2017Assignee: Hitachi High-Technologies CorporationInventors: Toshiyuki Yokosuka, Chahn Lee, Hideyuki Kazumi, Hajime Kawano, Shahedul Hoque, Kumiko Shimizu, Hiroyuki Takahashi
-
Patent number: 9697988Abstract: Ion implantation systems and processes are disclosed. An exemplary ion implantation system may include an ion source, an extraction manipulator, a magnetic analyzer, and an electrode assembly. The extraction manipulator may be configured to generate an ion beam by extracting ions from the ion source. A cross-section of the generated ion beam may have a long dimension and a short dimension orthogonal to the long dimension of the ion beam. The magnetic analyzer may be configured to focus the ion beam in an x-direction parallel to the short dimension of the ion beam. The electrode assembly may be configured to accelerate or decelerate the ion beam. One or more entrance electrodes of the electrode assembly may define a first opening and the electrode assembly may be positioned relative to the magnetic analyzer such that the ion beam converges in the x-direction as the ion beam enters through the first opening.Type: GrantFiled: October 14, 2015Date of Patent: July 4, 2017Assignee: Advanced Ion Beam Technology, Inc.Inventors: Zhimin Wan, Kourosh Saadatmand, Nicholas White
-
Patent number: 9697989Abstract: The present disclosure provides a method for generating a parameter pattern including: performing a plurality of measurements upon a plurality of regions on a surface of a workpiece to obtain a plurality of measured results; and deriving a parameter pattern according to the plurality of measured results by a computer; wherein the parameter pattern includes a plurality of regional parameter values corresponding to each of the plurality of regions on the surface of the workpiece. The present disclosure provides a Feed Forward semiconductor manufacturing method including: forming a layer with a desired pattern on a surface of a workpiece; deriving a control signal including a parameter pattern according to spatial dimension measurements against the layer with the desired pattern distributed over a plurality of regions of the surface of the workpiece; and performing an ion implantation on the surface of the workpiece according to the control signal.Type: GrantFiled: February 26, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company Ltd.Inventors: Cheng-Ta Wu, Tsung Han Wu, Yao-Wen Hsu, Lun-Kuang Tan, Wei-Ming You, Ting-Chun Wang
-
Patent number: 9697990Abstract: Provided is a method of plasma etching on a substrate using an etchant gas mixture to meet integration objectives, the method comprising: disposing a substrate having a structure pattern layer, a neutral layer, and an underlying layer, the structure pattern layer comprising a first material and a second material and the underlying layer comprising a silicon anti-reflective (SiARC) layer, a spin-on carbon hardmask (CHM) layer, an oxide layer, and a target layer; performing an first etch process to selectively remove the second material and the neutral layer using a first etchant gas mixture to form a first pattern; performing an second etch process to selectively remove the SiARC layer to form a second pattern; performing an third etch process to selectively remove the CHM layer to form a third pattern; concurrently controlling selected two or more operating variables wherein the first etchant gas include oxygen and sulfur-containing gases.Type: GrantFiled: November 15, 2016Date of Patent: July 4, 2017Assignee: Tokyo Electron LimitedInventors: Satoru Nakamura, Akiteru Ko
-
Patent number: 9697991Abstract: An RF matching network includes a control circuit configured to instruct at least one EVC to alter its variable capacitance, the alteration of the variable capacitance causing the matching network to achieve a preliminary match state, the preliminary match state having an associated first reflection parameter value at an RF source output; and upon the achievement of the preliminary match state, instruct an RF source to alter a variable RF source frequency, the alteration of the variable RF source frequency causing achievement of a final match state, the final match state having an associated second reflection parameter value at the RF source output; wherein the second reflection parameter value is less than the first reflection parameter value.Type: GrantFiled: July 1, 2015Date of Patent: July 4, 2017Inventor: Imran Ahmed Bhutta
-
Patent number: 9697992Abstract: An apparatus is provided. The apparatus includes a plasma generation element physically coupled to a first main electrode. The plasma generation element includes at least a first open end and a second open end. Each open end defines a nozzle such that the first open end directs an ablative plasma to a second main electrode and the second open end directs the ablative plasma to a third main electrode.Type: GrantFiled: February 19, 2014Date of Patent: July 4, 2017Assignee: GENERAL ELECTRIC COMPANYInventors: Marcelo Esteban Valdes, Thangavelu Asokan
-
Patent number: 9697993Abstract: This disclosure relates to a plasma processing system for controlling plasma density across a substrate and maintaining a tight ion energy distribution within the plasma. In one embodiment, this may include using a dual plasma chamber system including a non-ambipolar plasma chamber and a DC plasma chamber adjacent to the non-ambipolar system. The DC plasma chamber provide power to generate the plasma by rotating the incoming power between four inputs from a VHF power source. In one instance, the power to each of the four inputs are at least 90 degrees out of phase from each other.Type: GrantFiled: November 6, 2014Date of Patent: July 4, 2017Assignee: TOKYO ELECTRON LIMITEDInventors: Lee Chen, Zhiying Chen
-
Patent number: 9697994Abstract: Disclosed herein is a method for evaluating and measuring the performance, efficacy and safety of candidate new chemical entities. This method comprises employing a target compound having one or more 12C atoms in the molecule wherein at least one of the 12C atoms is substituted with a 13C atom, The stable labelled target compound is then administered to a test subject following which the target compound and/or one or more of its metabolites are recovered using conventional separation techniques and purified. The resulting isolated material of interest is then combusted in the presence of a petrochemical based carrier, the 12C content of such carrier with respect to naturally occurring 13C being in excess of 99.9 percent. The CO2 resulting from such combustion is then graphitized and the graphitized material is analyzed employing techniques, such as Accelerator Mass Spectrometry (“AMS”), capable of differentiating and counting the carbon atom isotopes (13C vs.Type: GrantFiled: April 8, 2016Date of Patent: July 4, 2017Assignee: Ricarez Biosciences, LLCInventor: Damodaragounder Gopal
-
Patent number: 9697995Abstract: A method for analyzing a mixture of components includes forming precursor ions from the components, alternately causing the precursor ions to pass to and to by-pass a fragmentation device, to form product ions from the precursor ions that pass to the device and to form substantially fewer product ions from precursor ions that by-pass the device, and obtaining mass spectra from product ions received from the device and from precursor ions that by-passed the device. An apparatus for analyzing a sample includes an ion source for forming precursor ions from the components of the sample, a fragmentation device for forming product ions from the precursor ions, a by-pass device disposed upstream of the fragmentation device for switchable by-pass of the fragmentation device, and a mass analyzer.Type: GrantFiled: November 20, 2015Date of Patent: July 4, 2017Assignee: Micromass UK LimitedInventor: Robert Harold Bateman
-
Patent number: 9697996Abstract: A method of mass spectrometry is disclosed comprising: performing a survey scan of a plurality of different types of parent or precursor ions, wherein the survey scan comprises analyzing the ion mobilities of the ions and mass analyzing the ions; determining the charge states of parent or precursor ions analyzed in the survey scan based on their determined combinations of ion mobility and mass to charge ratio; selecting a parent or precursor ion for fragmentation or reaction; and fragmenting or reacting the selected ion, wherein the fragmentation or reaction conditions are selected from a plurality of different fragmentation or reaction conditions based upon the determined charge state of the selected ion.Type: GrantFiled: March 10, 2014Date of Patent: July 4, 2017Assignee: Micromass UK LimitedInventors: Jeffery Mark Brown, Kevin Giles, Martin Raymond Green, John Brian Hoyes, Christopher Jones, Michael Raymond Morris, Steven Derek Pringle, Keith Richardson, Farnoush Salarzaei, Jason Lee Wildgoose
-
Patent number: 9697997Abstract: A collision cell for a mass spectrometer arranged to receive ions for fragmentation in a chamber and comprising an activation ion generator configured to irradiate the received ions with activation ions of the same polarity as the received ions. The activation ion generator is preferably a plasma generator, configured to generate a plasma comprising the activation ions.Type: GrantFiled: March 15, 2013Date of Patent: July 4, 2017Assignee: Thermo Fisher Scientific (Bremen) GmbHInventors: Konstantin Chingin, Roman Zubarev
-
Patent number: 9697998Abstract: A mass spectrometer includes: an ionization unit configured to ionize an analyte gas; a filter unit configured to allow passage of only a target ion which is a component of the analyte gas ionized in the ionization unit and which has a specific mass-to-charge ratio; and an ion detection unit configured to detect an ion detection value based on the target ion having passed through the filter unit, wherein the ion detection unit includes a Faraday electrode which includes an electrode portion disposed along a centerline of the filter unit and a bottom electrode provided at a position downstream of the electrode portion in a flow of the target ion, the electrode portion and the bottom electrode being connected to each other, a secondary electron multiplier provided to face the electrode portion with the centerline located therebetween, and a blocking portion connected to the bottom electrode.Type: GrantFiled: December 28, 2015Date of Patent: July 4, 2017Assignee: CANON ANELVA CORPORATIONInventors: Megumi Nakamura, Yoshiyuki Takizawa, Masayuki Sugiyama, Yuji Shimada, Hiroki Mita
-
Patent number: 9697999Abstract: A method of preparing or operating a mass spectrometer vacuum interface comprising a skimmer apparatus having a skimmer aperture and an internal surface of the skimmer apparatus, comprising disposing an adsorbent or getter material on the internal surface. The internal surface has a deposition region where matter from plasma flows may be deposited and the material is disposed on part or all of the deposition region. The disposing step may be performed before a first use and/or intermittently, especially to refresh a previously disposed material. Providing such material serves to trap or collect deposition matter which might anyway be deposited but in such a way that subsequent liberation of that matter is prevented or at least reduced.Type: GrantFiled: December 12, 2012Date of Patent: July 4, 2017Assignee: Thermo Fisher Scientific (Bremen) GmbHInventors: Alexander Alekseevich Makarov, Lothar Rottmann
-
Patent number: 9698000Abstract: The disclosure features mass spectrometry systems that include: an ion source; a module featuring an ion trap, an ion detector, and a module housing that at least partially surrounds the ion trap and the ion detector; and a vacuum pump featuring a housing having a recess dimensioned to receive the module, so that when the module is positioned within the recess of the vacuum pump housing, a portion of the module is surrounded by the vacuum pump housing, and during operation of the system, the ion source, ion trap, ion detector, and vacuum pump are connected along a common gas flow path and heat is transferred from the vacuum pump to the module.Type: GrantFiled: October 30, 2015Date of Patent: July 4, 2017Assignee: 908 Devices Inc.Inventors: Tony Liepert, Kevin McCallion, Christopher D. Brown, Kevin J. Knopp, Michael Jobin
-
Patent number: 9698001Abstract: Described herein are mass spectrometry systems and methods which improve the accuracy of isobaric tag-based quantification by alleviating the pervasive problem of precursor interference and co-isolation of impurities through gas-phase purification. During the gas-phase purification, the mass-to-charge ratios of precursor ions within at least a selected range are selectively changed allowing ions having similar unmodified mass-to-charge ratios to be separated before further isolation, fragmentation or analysis.Type: GrantFiled: April 3, 2012Date of Patent: July 4, 2017Assignee: Wisconsin Alumni Research FoundationInventors: Joshua J. Coon, Michael S. Westphall
-
Patent number: 9698002Abstract: A method of mass analysis and a mass spectrometer are provided wherein a batch of ions is accumulated in a mass analyzer; the batch of ions accumulated in the mass analyzer is detected using image current detection to provide a detected signal; the number of ions in the batch of ions accumulated in the mass analyzer is controlled using an algorithm based on a previous detected signal obtained using image current detection from a previous batch of ions accumulated in the mass analyzer; wherein one or more parameters of the algorithm are adjusted based on a measurement of ion current or charge obtained using an independent detector located outside of the mass analyzer.Type: GrantFiled: April 18, 2016Date of Patent: July 4, 2017Assignee: Thermo Fisher Scientific (Bremen) GmbHInventors: Jan-Peter Hauschild, Oliver Lange, Ulf Fröhlich, Andreas Wieghaus, Alexander Kholomeev, Alexander Makarov
-
Patent number: 9698003Abstract: Apparatuses are disclosed which include a discharge lamp configured to emit ultraviolet light, a power circuit configured to operate the discharge lamp, and a reflector system configured to redirect ultraviolet light emitted from the discharge lamp. In some embodiments, the apparatuses include a support structure containing the power circuit and supporting the discharge lamp. In some of such embodiments, the reflector system is configured to redirect ultraviolet light propagating away from the support structure to a region exterior to the apparatus and which is between approximately 2 feet and approximately 4 feet from a floor of a room in which the apparatus is arranged. In other embodiments, the reflector system may be additionally or alternatively configured to redirect ultraviolet light propagating away from the support structure to encircle an exterior surface of the apparatus. In any case, the reflector system may, in some embodiments, include a repositionable reflector.Type: GrantFiled: March 18, 2015Date of Patent: July 4, 2017Assignee: XENEX DISINFECTION SERVICES, LLC.Inventors: Mark Andrew Stibich, James Blaine Wolford, Alexander Nathan Garfield, Martin Rathgeber, Eric Martin Frydendall
-
Patent number: 9698006Abstract: The present invention provides a system (100) for aligning a dispensing apparatus (110) utilized within a semiconductor deposition chamber (102). A stationary reference apparatus (106) is disposed along the bottom of the deposition chamber. A self-alignment support system (122), comprising one or more support components (124), is intercoupled between the dispensing apparatus and a deposition system exterior component (112). The self-alignment support system is adapted to facilitate and secure repositioning of the dispensing apparatus responsive to pressure applied to the dispensing surface (114) thereof. A non-yielding offset component (126) is placed upon a first surface (108) of the stationary reference apparatus. The dispensing surface of the dispensing apparatus is engaged with the offset component, and pressure is applied to the dispensing apparatus via the offset component until a desired alignment is achieved.Type: GrantFiled: April 9, 2013Date of Patent: July 4, 2017Assignee: TEXAS INSTRUMENTS INCORPORATEDInventor: Martin Garcia
-
Patent number: 9698007Abstract: A method of manufacturing a semiconductor device, includes forming a thin film containing silicon, oxygen and carbon or a thin film containing silicon, oxygen, carbon and nitrogen on a substrate by performing a cycle a predetermined number of times. The cycle includes supplying a precursor gas serving as a silicon source and a carbon source or a precursor gas serving as a silicon source but no carbon source, and a first catalyst gas to the substrate; supplying an oxidizing gas and a second catalyst gas to the substrate; and supplying a modifying gas containing at least one selected from the group consisting of carbon and nitrogen to the substrate.Type: GrantFiled: July 23, 2015Date of Patent: July 4, 2017Assignee: Hitachi Kokusai Electric Inc.Inventors: Takaaki Noda, Satoshi Shimamoto, Shingo Nohara, Yoshiro Hirose, Kiyohiko Maeda
-
Patent number: 9698008Abstract: An object is to provide a deposition method in which a gallium oxide film is formed by a DC sputtering method. Another object is to provide a method for manufacturing a semiconductor device using a gallium oxide film as an insulating layer such as a gate insulating layer of a transistor. An insulating film is formed by a DC sputtering method or a pulsed DC sputtering method, using an oxide target including gallium oxide (also referred to as GaOX). The oxide target includes GaOX, and X is less than 1.5, preferably more than or equal to 0.01 and less than or equal to 0.5, further preferably more than or equal to 0.1 and less than or equal to 0.2. The oxide target has conductivity, and sputtering is performed in an oxygen gas atmosphere or a mixed atmosphere of an oxygen gas and a rare gas such as argon.Type: GrantFiled: April 7, 2015Date of Patent: July 4, 2017Assignee: Semiconductor Energy Laboratory Co., Ltd.Inventors: Shunpei Yamazaki, Junichiro Sakata, Makoto Furuno
-
Patent number: 9698009Abstract: Methods of depositing a film comprising positioning a plurality of substrates on a substrate support in a processing chamber having a plurality of processing regions, each processing region separated from an adjacent region by a gas curtain. Alternating exposure to first reactive gases, purge gases, second reactive gases, and purge gas in at least one of the processing regions to deposit a film.Type: GrantFiled: January 20, 2016Date of Patent: July 4, 2017Assignee: Applied Materials, Inc.Inventors: Tatsuya E. Sato, Eran Newman
-
Patent number: 9698011Abstract: The process for growing at least one semiconductor nanowire (3), said growth process comprising a step of forming, on a substrate (1), a nucleation layer (2) for the growth of the nanowire (3) and a step of growth of the nanowire (3). The step of formation of the nucleation layer (2) comprises the following steps: deposition onto the substrate (1) of a layer of a transition metal (4) chosen from Ti, V, Cr, Zr, Nb, Mo, Hf, Ta; nitridation of at least a part (2) of the transition metal layer so as to form a transition metal nitride layer having a surface intended for growing the nanowire (3).Type: GrantFiled: October 28, 2013Date of Patent: July 4, 2017Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVESInventors: Berangere Hyot, Benoit Amstatt, Marie-Francoise Armand
-
Patent number: 9698012Abstract: Disclosed are a method and an apparatus for manufacturing low temperature poly-silicon film, and a low temperature poly-silicon film. The method includes: providing a substrate; forming an amorphous silicon film; applying different temperatures to different regions of the amorphous silicon film by using an excimer laser annealing method, to change the amorphous silicon film into a molten state; and recrystallizating the amorphous silicon film in the molten state, a region having a lower temperature serving as a starting point, a region having a higher temperature serving as an end point, to form a low temperature poly-silicon film. The low temperature poly-silicon film manufactured by the above method and apparatus has a greater size of the crystalline grain and a larger electronic mobility than in the existing technology.Type: GrantFiled: August 26, 2014Date of Patent: July 4, 2017Assignee: Shenzhen China Star Optoelectronics Technology Co., LtdInventors: Longxian Zhang, Wei Yu
-
Patent number: 9698013Abstract: Trenches (and processes for forming the trenches) are provided that reduce or prevent crystaline defects in selective epitaxial growth of type III-V or Germanium (Ge) material (e.g., a “buffer” material) from a top surface of a substrate material. The defects may result from collision of selective epitaxial sidewall growth with oxide trench sidewalls. Such trenches include (1) a trench having sloped sidewalls at an angle of between 40 degrees and 70 degrees (e.g., such as 55 degrees) with respect to a substrate surface; and/or (2) a combined trench having an upper trench over and surrounding the opening of a lower trench (e.g., the lower trench may have the sloped sidewalls, short vertical walls, or tall vertical walls). These trenches reduce or prevent defects in the epitaxial sidewall growth where the growth touches or grows against vertical sidewalls of a trench it is grown in.Type: GrantFiled: September 4, 2013Date of Patent: July 4, 2017Assignee: Intel CorporationInventors: Niloy Mukherjee, Niti Goel, Sanaz K. Gardner, Pragyansri Pathi, Matthew V. Metz, Sansaptak Dasgupta, Seung Hoon Sung, James M. Powers, Gilbert Dewey, Benjamin Chu-Kung, Jack T. Kavalieros, Robert S. Chau
-
Patent number: 9698014Abstract: A photoresist composition and a method for forming a patterned photoresist, and a method for forming an integrated circuit pattern are provided. A photoresist composition is provided. The photoresist composition includes a first polymer, a second polymer; and a solvent. The first polymer is more soluble than the second polymer in an aqueous solution, and the first polymer has a higher etching resistance than the second polymer.Type: GrantFiled: July 30, 2014Date of Patent: July 4, 2017Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTDInventors: Tsung-Pao Chen, Sheng-Min Chuang, Teng-Kuei Chuang
-
Patent number: 9698015Abstract: Embodiments of the present disclosure provide methods for patterning rectangular features with a sequence of lithography, atomic layer deposition (ALD) and etching. Embodiment of the present disclosure includes forming first line clusters along a first direction and second line clusters over the first line clusters in a direction traversing the first direction. The first and second line clusters both include core lines formed from a core material, spacers formed from first and second materials by ALD and etching. After formation of the first and second line clusters, rectangular openings can be formed by selectively etching one or two of the core material, the first material or the second material.Type: GrantFiled: October 2, 2014Date of Patent: July 4, 2017Assignee: APPLIED MATERIALS, INC.Inventor: Srinivas D. Nemani
-
Patent number: 9698016Abstract: The present disclosure relates to a method for performing a self-aligned litho-etch (SALE) process. In some embodiments, the method is performed by forming a first cut layer over a hard mask having a first layer and an underlying second layer. A first plurality of openings are formed within the first layer and expose the second layer at a first plurality of positions. Two or more of the first plurality of openings are separated by the first cut layer. A spacer material is selectively formed onto sidewalls of the first plurality of openings within the first layer. A second plurality of openings are then formed within the first layer. The second plurality of openings are separated by a second cut layer including the spacer material and expose the second layer at a second plurality of positions. The second layer is etched according to the first layer and the spacer material.Type: GrantFiled: July 6, 2016Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Kuan-Wei Huang, Chia-Ying Lee, Ming-Chung Liang
-
Patent number: 9698017Abstract: A manufacturing method of a semiconductor device is provided by forming a trench in a surface of a SiC substrate, positioning a protective substrate to cover the trench, and annealing the SiC substrate and the protective substrate.Type: GrantFiled: February 29, 2016Date of Patent: July 4, 2017Assignee: Toyota Jidosha Kabushiki KaishaInventors: Tomoharu Ikeda, Shinichiro Miyahara, Sachiko Aoi
-
Patent number: 9698018Abstract: A method of introducing self-aligned dopants in semiconductor fins and the resulting device are provided. Embodiments include providing semiconductor fins on first and second portions of a substrate; forming a BSG layer on side surfaces of the semiconductor fins on the first portion of the substrate; forming a first SiN layer on the BSG layer; forming a high quality oxide layer over an upper surface of the substrate, the first SiN layer and side surfaces of the semiconductor fins on the second portion of the substrate; forming a PSG layer over the high quality oxide layer on the second portion of the substrate and side surfaces of the semiconductor fins on the second portion of the substrate; and forming a second SiN layer over the high quality oxide layer and the PSG layer.Type: GrantFiled: April 19, 2016Date of Patent: July 4, 2017Assignee: GLOBALFOUNDRIES INC.Inventors: Xintuo Dai, Haigou Huang, Jinping Liu
-
Patent number: 9698019Abstract: A method includes forming a dummy gate stack over a semiconductor substrate, wherein the semiconductor substrate is comprised in a wafer. The method further includes removing the dummy gate stack to form a recess, forming a gate dielectric layer in the recess, and forming a metal layer in the recess and over the gate dielectric layer. The metal layer has an n-work function. A portion of the metal layer has a crystalline structure. The method further includes filling a remaining portion of the recess with metallic materials, wherein the metallic materials are overlying the metal layer.Type: GrantFiled: March 14, 2014Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chi-Cheng Hung, Kuan-Ting Liu, Jun-Nan Nian