Patents Issued in July 4, 2017
-
Patent number: 9698020Abstract: A method of forming a semiconductor device is disclosed in various embodiments. The method includes providing a substrate containing first and second device regions, and a high-k film on the substrate, depositing a metal nitride gate electrode film on the high-k film, forming a metal-containing gate electrode film on the metal nitride gate electrode film in the second device region but not in the first device region, and depositing a Si-based cap layer on the metal-containing gate electrode film in the second device region and on the metal nitride gate electrode film in the first device region.Type: GrantFiled: October 20, 2015Date of Patent: July 4, 2017Assignee: Tokyo Electron LimitedInventors: Genji Nakamura, Toshio Hasegawa
-
Patent number: 9698021Abstract: In a method of forming a layer, a substrate is loaded into a chamber and placed at a home position that is a first relative angular position. A process cycle is performed a number of times while the substrate is at the home position. The cycle includes directing source gas onto the substrate at a first location adjacent the periphery of the substrate, purging the chamber, directing reaction gas onto the substrate from the first location, and purging the chamber. The cycle is performed another number of times while the substrate is at another relative angular position, i.e., at a position rotated about its general center relative from the home position.Type: GrantFiled: December 15, 2015Date of Patent: July 4, 2017Assignee: Samsung Electronics Co., Ltd.Inventors: Min-Joo Lee, Weon-Hong Kim, Moon-Kyun Song, Dong-Su Yoo, Soo-Jung Choi
-
Patent number: 9698022Abstract: Methods for building a memory device or electronic system may include a memory cell body extending from a substrate, a self-aligned floating gate separated from the memory cell body by a tunneling dielectric film, and a control gate separated from the self-aligned floating gate by a blocking dielectric film. The floating gate is flanked by the memory cell body and the control gate to form a memory cell, and the self-aligned floating gate is at least as thick as the control gate.Type: GrantFiled: July 12, 2016Date of Patent: July 4, 2017Assignee: Intel CorporationInventor: Randy J. Koval
-
Patent number: 9698023Abstract: A traveling-wave amplifier includes a plurality of amplifier cells, an insulating layer, an input line, and an output line. The plurality of amplifier cells is provided on a semiconductor substrate. Each of the amplifier cells receives an input signal and generates a part of an output signal from the input signal. The insulating layer is provided on the semiconductor substrate. The input line is used to externally receive an input signal and to transmit the input signal to the amplifier cells respectively. The output line is used to transmit the output signal generated by the amplifier cells and to externally output the output signal. The thickness of the input line is smaller than the thickness of the output line, and the input line and the output line are provided on the same insulating layer.Type: GrantFiled: August 31, 2015Date of Patent: July 4, 2017Assignee: SUMITOMO ELECTRIC INDUSTRIES, LTD.Inventors: Naoki Itabashi, Taizo Tatsumi, Masataka Watanabe
-
Patent number: 9698024Abstract: Some embodiments of the present disclosure relate to a method to increase breakdown voltage of a power device. A power device is formed on a silicon-on-insulator (SOI) wafer made up of a device wafer, a handle wafer, and an intermediate oxide layer. A recess is formed in a lower surface of the handle wafer to define a recessed region of the handle wafer. The recessed region of the handle wafer has a first handle wafer thickness, which is greater than zero. An un-recessed region of the handle wafer has a second handle wafer thickness, which is greater than the first handle wafer thickness. The first handle wafer thickness of the recessed region provides a breakdown voltage improvement for the power device.Type: GrantFiled: July 14, 2014Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.Inventors: Long-Shih Lin, Fu-Hsiung Yang, Kun-Ming Huang, Ming-Yi Lin, Paul Chu
-
Patent number: 9698025Abstract: A method includes forming at least one fin on a semiconductor substrate. A hard mask layer is formed above the fin. A first directed self-assembly material is formed above the hard mask layer. The hard mask layer is patterned using a portion of the first directed self-assembly material as an etch mask to expose a portion of the top surface of the fin. A substantially vertical nanowire is formed on the exposed top surface. At least one dimension of the substantially vertical nanowire is defined by an intrinsic pitch of the first directed self-assembly material.Type: GrantFiled: September 4, 2014Date of Patent: July 4, 2017Assignee: GLOBALFOUNDRIES Inc.Inventors: Steven Bentley, Richard A. Farrell, Gerard Schmid, Ajey Poovannummoottil Jacob
-
Patent number: 9698026Abstract: Systems and methods are provided for annealing a semiconductor structure. In one embodiment, the method includes providing an energy-converting structure proximate a semiconductor structure, the energy-converting structure comprising a material having a loss tangent larger than that of the semiconductor structure; providing a heat reflecting structure between the semiconductor structure and the energy-converting structure; and providing microwave radiation to the energy-converting structure and the semiconductor structure. The semiconductor structure may include at least one material selected from the group consisting of boron-doped silicon germanium, silicon phosphide, titanium, nickel, silicon nitride, silicon dioxide, silicon carbide, n-type doped silicon, and aluminum capped silicon carbide. The heat reflecting structure may include a material substantially transparent to microwave radiation and having substantial reflectivity with respect to infrared radiation.Type: GrantFiled: August 11, 2016Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company LimitedInventors: Chun-Hsiung Tsai, Zi-Wei Fang, Chao-Hsiung Wang
-
Patent number: 9698027Abstract: A method may include providing an electrically conductive laminar base member having a die attachment portion and a lead frame portion, producing a distribution of holes opening at a front surface of the base member, attaching an integrated circuit onto the front surface of the base member at the attachment portion, and producing a wire bonding pattern between the integrated circuit and wire bonding locations on the front surface of the base member at the lead frame portion. An electrically insulating package molding compound may be molded onto the front surface of the base member so that the integrated circuit and the wire bonding pattern are embedded in the package molding compound which penetrates into the holes opening at the front surface of the base member. The base member may be selectively etched from its back surface to produce residual portions of the base member at the wire bonding locations.Type: GrantFiled: March 22, 2016Date of Patent: July 4, 2017Assignee: STMICROELECTRONICS S.R.L.Inventor: Fulvio Vittorio Fontana
-
Patent number: 9698028Abstract: A semiconductor package includes a semiconductor substrate, a contact pad overlying the semiconductor substrate, an interconnect layer overlying the contact pad, a passivation layer formed between the contact pad and the interconnect layer, a bump overlying the interconnect layer, and a protection layer overlying the interconnect layer and the passivation layer and covering a lower portion of the bump. The protection layer includes a curved surface region.Type: GrantFiled: July 14, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Wen-Hsiung Lu, Ming-Da Cheng, Yi-Wen Wu, Yu-Peng Tsai, Chia-Wei Tu, Chung-Shi Liu
-
Patent number: 9698029Abstract: A method and device for processing wafer-shaped articles includes a spin chuck for holding and rotating a wafer-shaped article about a rotation axis, and at least one dispenser for dispensing a fluid onto at least one surface of a wafer-shaped article. A collector surrounds the spin chuck for collecting process fluids, with at least two collector levels for separately collecting fluids in different collector levels. Each collector level comprises an exhaust gas collecting chamber leading to a respective exhaust gas conduit. At least one of the exhaust gas conduits comprises a valve mechanism that reciprocally restricts exhaust gas flow from its associated exhaust gas conduit while opening the exhaust gas conduit to an ambient environment outside the collector, and vice-versa.Type: GrantFiled: February 19, 2014Date of Patent: July 4, 2017Assignee: LAM RESEARCH AGInventors: Reinhold Schwarzenbacher, Christian Putzi
-
Patent number: 9698030Abstract: A temperature controlled loadlock chamber for use in semiconductor processing is provided. The temperature controlled loadlock chamber may include one or more of an adjustable fluid pump, mass flow controller, one or more temperature sensors, and a controller. The adjustable fluid pump provides fluid having a predetermined temperature to a temperature-controlled plate. The mass flow controller provides gas flow into the chamber that may also aid in maintaining a desired temperature. Additionally, one or more temperature sensors may be combined with the adjustable fluid pump and/or the mass flow controller to provide feedback and to provide a greater control over the temperature. A controller may be added to control the adjustable fluid pump and the mass flow controller based upon temperature readings from the one or more temperature sensors.Type: GrantFiled: November 10, 2014Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chun-Hsien Lin, Jyh-Cherng Sheu, Ming-Feng Yoo, Kewei Zuo
-
Patent number: 9698031Abstract: A substrate treatment method is provided, which includes: an organic solvent replacing step of supplying an organic solvent, whereby a liquid film of the organic solvent is formed on the substrate as covering the upper surface of the substrate to replace a rinse liquid with the organic solvent; a substrate temperature increasing step of allowing the temperature of the upper surface of the substrate to reach a first temperature level higher than the boiling point of the organic solvent after the formation of the organic solvent liquid film, whereby a vapor film of the organic solvent is formed below the entire organic solvent liquid film between the organic solvent liquid film and the substrate to levitate the organic solvent liquid film above the organic solvent vapor film; and an organic solvent removing step of removing the levitated organic solvent liquid film from above the upper surface of the substrate.Type: GrantFiled: March 25, 2015Date of Patent: July 4, 2017Assignee: SCREEN Holdings Co., Ltd.Inventors: Kenji Kobayashi, Manabu Okutani
-
Patent number: 9698032Abstract: A mounting system includes retaining bars which are structurally independent of one another, which can be optionally directly disconnected and directly connected relative to a support device through coupling devices that are activatable and deactivatable without tools. Using the mounting system, a method for charging a treatment device can also be carried out, with which the retaining bars are directly connected to a first support device, and following this, the retaining bars are directly connected to a second support device, in particular a rotor that is arranged in the treatment device. Following that, the mechanical connection between the first support device and the retaining bars is directly disconnected.Type: GrantFiled: September 18, 2015Date of Patent: July 4, 2017Assignee: siconnex customized solutions GmbHInventors: Wolfgang Moesenbichler, Stefan Muehlbauer, Bernhard Kuestner
-
Patent number: 9698033Abstract: A substrate storing container is provided with a lid-body-side substrate support section that can support the edges of a plurality of substrates when a container main body opening is occluded by the lid body. The lid-body-side substrate support section is provided with: a lid-body-side substrate receiving section and a pair of lid-body-side leg sections respectively connected to one end and the other end of the lid-body-side substrate receiving section. One lid-body-side leg section of the pair of lid-body-side leg sections is fixed at the outside of a concavity for fixing a lid-body leg section, and the other lid-body-side leg section of the pair of lid-body-side leg sections is fixed within the concavity for fixing a lid-body leg section.Type: GrantFiled: October 12, 2012Date of Patent: July 4, 2017Assignees: MIRAIAL CO., LTD., SHIN-ETSU POLYMER CO., LTD.Inventors: Chiaki Matsutori, Tsuyoshi Nagashima, Takaharu Oyama, Shuichi Inoue, Hiroyuki Shida, Hiroki Yamagishi, Kazumasa Ohnuki
-
Patent number: 9698034Abstract: In accordance with an embodiment, a substrate storage container includes first and second cases, a lid and a moving unit. The first case is provided with an opening to take in or out a substrate. The lid closes the opening. The second case can move in a first direction crossing a surface of the first case. The opening is provided on the surface. The moving unit moves the second case in the first direction in response to the opening of the lid.Type: GrantFiled: March 12, 2015Date of Patent: July 4, 2017Assignee: Kabushiki Kaisha ToshibaInventors: Yuuichi Kuroda, Masaki Hirano, Tsunekazu Yasutake, Rempei Nakata
-
Patent number: 9698035Abstract: Provided herein are high coefficient of friction contact surfaces for transfer of substrates including semiconductor wafers. In certain implementations, the contact surfaces include microstructures that exploit intermolecular surface forces for increased adhesion and friction in the x-y direction during substrate transfer, while allowing easy release in the z-direction without tilting the substrate. Also provided are robot end effectors including the contact surfaces and related high-throughput transfer systems and methods.Type: GrantFiled: December 23, 2013Date of Patent: July 4, 2017Assignee: Lam Research CorporationInventor: Matthew J. Rodnick
-
Patent number: 9698036Abstract: A substrate cassette loading system for docking substrate cassettes to a substrate processing system is provided. A plurality of ports passes substrates into the substrate processing system, wherein a first port of the plurality of ports is vertically above a second port of the plurality of ports. A plurality of cassette loaders provides substrate cassettes to the plurality of ports.Type: GrantFiled: November 5, 2015Date of Patent: July 4, 2017Assignee: Lam Research CorporationInventors: Silvia R. Aguilar, Scott Wong, Derek J. Witkowicki, Richard H. Gould, Candi Kristoffersen, Brandon Senn
-
Patent number: 9698037Abstract: A substrate processing apparatus includes a holder configured to hold a substrate and carry the substrate into a process chamber, a waiting station located outside the process chamber in which the holder waits prior to carrying the substrate into the process chamber, a circulation path configured to circulate a gas throughout the waiting station, and an exhaust path formed in the circulation path and configured to exhaust the gas from the waiting station.Type: GrantFiled: June 9, 2011Date of Patent: July 4, 2017Assignee: HITACHI KOKUSAI ELECTRIC INC.Inventors: Seiyo Nakashima, Yuichi Matsuda, Takashi Nogami, Shinobu Sugiura, Tomoyuki Yamada
-
Patent number: 9698038Abstract: An adapter tool that is configured to be attached to a loadport of a wafer handling system includes a support member, and first and second guiding elements supported by the support member. The first and second guiding elements are arranged for placing a first wafer magazine and a second wafer magazine, respectively. The adapter tool further includes a housing supported by the support member that is configured to house the first and the second wafer magazines, respectively, and first and second openings in the housing, respectively. The first and second openings are aligned with the first and second guiding elements.Type: GrantFiled: August 28, 2014Date of Patent: July 4, 2017Assignee: Infineon Technologies AGInventors: Michael Larisch, Ulrich Beck, Michael Walser
-
Patent number: 9698039Abstract: Method for a controlled spalling utilizing vaporizable release layers. For example, a method comprises providing a base substrate, depositing a stressor layer and a vaporizable release layer on the base substrate, forming a flexible support layer on at least one of the stressor layer and the vaporizable release layer, spalling an upper portion of the base substrate, securing the spalled upper portion of the base substrate to a handle substrate, and vaporizing the vaporizable release layer.Type: GrantFiled: June 3, 2016Date of Patent: July 4, 2017Assignee: International Business Machines CorporationInventors: Stephen W. Bedell, Ning Li, Katherine L. Saenger
-
Patent number: 9698040Abstract: A semiconductor device carrier tape with image sensor detectable dimples is disclosed. The dimpled carrier tape is formed of a flexible strip of material. A plurality of pockets are disposed spaced apart along the length of the flexible strip of material. Each pocket is configured to hold a semiconductor device. A dimple is formed in each of the plurality of pockets where each dimple has a peripheral edge and a bottom surface. Detection of the dimple by an image sensor facilitates alignment of a semiconductor device with the pocket and precise placement of the semiconductor device in the pocket.Type: GrantFiled: October 29, 2015Date of Patent: July 4, 2017Assignee: STMicroelectronics (Malta) LtdInventors: Jeremy Spiteri, Ivan Ellul
-
Patent number: 9698041Abstract: Substrate temperature control apparatus including optical fiber temperature control are described. Substrate temperature control apparatus includes a base, a thermal contact member proximate to the base, and a plurality of optical fibers adapted to provide light-based heating extending laterally between the base and thermal contact member. Substrate temperature control systems and electronic device processing systems and methods including optical fiber temperature control are described, as are numerous other aspects.Type: GrantFiled: June 9, 2014Date of Patent: July 4, 2017Assignee: APPLIED MATERIALS, INC.Inventors: Matthew Busche, Wendell Boyd, Jr., Vijay D. Parkhe, Michael R. Rice, Leon Volfovski
-
Patent number: 9698042Abstract: A method for reducing slippage of a wafer during film deposition includes pumping out a processing chamber while the wafer is supported on lift pins or a carrier ring and lowering the wafer onto support members configured to minimize wafer slippage during deposition of the film. A multi-station processing chamber, such as a processing chamber for atomic layer deposition, can include a chuck-less pedestal at each station having wafer supports configured to prevent the wafer from moving off center by more than 400 microns. To minimize a gas cushion beneath the wafer, the wafer supports can provide a gap of at least 2 mils between the back side of the wafer and the wafer-facing surface of the pedestal.Type: GrantFiled: July 22, 2016Date of Patent: July 4, 2017Assignee: LAM RESEARCH CORPORATIONInventors: Chloe Baldasseroni, Ted Minshall, Frank L. Pasquale, Shankar Swaminathan, Ramesh Chandrasekharan
-
Patent number: 9698043Abstract: A substrate incorporating semiconductor regions electrically isolated by shallow trenches filled with hexagonal, textured or columnar boron nitride. A process for filling shallow trenches in a semiconductor substrate with columnar textured boron nitride using pulsed plasma enhanced chemical vapor deposition (Pulsed PECVD) and plasma assisted atomic layer deposition (PAALD).Type: GrantFiled: May 20, 2016Date of Patent: July 4, 2017Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Kevin K. Chan, Stephan A. Cohen, Alfred Grill, Deborah A. Neumayer
-
Patent number: 9698044Abstract: A semiconductor structure includes a substrate, a first power device and a second power device in the substrate, at least one isolation feature between the first and second power device, and a trapping feature adjoining the at least one isolation feature in the substrate.Type: GrantFiled: December 1, 2011Date of Patent: July 4, 2017Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Alex Kalnitsky, Chih-Wen Yao, Jun Cai, Ruey-Hsin Liu, Hsiao-Chin Tuan
-
Patent number: 9698045Abstract: A semiconductor device and methods for manufacturing the same are disclosed. The semiconductor device includes a polymer substrate and an interfacial layer over the polymer substrate. A buried oxide layer resides over the interfacial layer, and a device layer with at least a portion of a field effect device resides over the buried oxide layer. The polymer substrate is molded over the interfacial adhesion layer and has a thermal conductivity greater than 2 watts per meter Kelvin (W/mK) and an electrical resistivity greater than 1012 Ohm-cm. Methods of manufacture for the semiconductor device include removing a wafer handle to expose a first surface of the buried oxide layer, disposing the interfacial adhesion layer onto the first surface of the buried oxide layer, and molding the polymer substrate onto the interfacial adhesion layer.Type: GrantFiled: May 19, 2015Date of Patent: July 4, 2017Assignee: Qorvo US, Inc.Inventor: Julio C. Costa
-
Patent number: 9698046Abstract: Embodiments of the present invention provide III-V-on-insulator (IIIVOI) platforms for semiconductor devices and methods for fabricating the same. According to one embodiment, compositionally-graded buffer layers of III-V alloy are grown on a silicon substrate, and a smart cut technique is used to cut and transfer one or more layers of III-V alloy to a silicon wafer having an insulator layer such as an oxide. One or more transferred layers of III-V alloy can be etched away to expose a desired transferred layer of III-V alloy, upon which a semi-insulating buffer layer and channel layer can be grown to yield IIIVOI platform on which semiconductor devices (e.g., planar and/or 3-dimensional FETs) can be fabricated.Type: GrantFiled: January 7, 2015Date of Patent: July 4, 2017Assignee: International Business Machines CorporationInventors: Anirban Basu, Bahman Hekmatshoartabari, Ali Khakifirooz, Davood Shahrjerdi
-
Patent number: 9698047Abstract: Semiconductor devices and method of manufacturing such semiconductor devices are provided for improved FinFET memory cells to avoid electric short often happened between metal contacts of a bit cell, where the meal contacts are positioned next to a dummy gate of a neighboring dummy edge cell. In one embodiment, during the patterning of a gate layer on a substrate surface, an improved gate slot pattern is used to extend the lengths of one or more gate slots adjacent bit lines so as to pattern and sectionalize a dummy gate line disposed next to metal contacts of an active memory cell. In another embodiment, during the patterning of gate lines, the distances between one or more dummy gates lines disposed adjacent an active memory cell are adjusted such that their locations within dummy edge cells are shifted in position to be away from metal contacts of the active memory cell.Type: GrantFiled: June 17, 2015Date of Patent: July 4, 2017Assignee: UNITED MICROELECTRONICS CORP.Inventors: Ching-Wen Hung, Chih-Sen Huang, Shih-Fang Tzou, Yi-Wei Chen, Yung-Feng Cheng, Li-Ping Huang, Chun-Hsien Huang, Chia-Wei Huang, Yu-Tse Kuo
-
Patent number: 9698048Abstract: A method for fabricating a semiconductor device includes forming a first material layer over a substrate, forming a middle layer over the first material layer, forming a first hard mask (HM) layer over the middle layer, forming a second HM layer over the first HM layer, forming a first trench in the second HM layer that extends into the first HM layer, forming a second trench in the second HM layer, The second trench is parallel to the first trench. The method also includes forming a first hole feature in the middle layer within the first trench by using the second HM layer and the first HM layer as a mask and forming a second hole feature in the middle layer within the second trench by using the second HM layer as a mask.Type: GrantFiled: February 24, 2016Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventor: Yung-Sung Yen
-
Patent number: 9698049Abstract: A nonvolatile memory device may include a stair-shaped structure including a first interlayer dielectric layer and a memory cell repeatedly stacked. The nonvolatile memory device may include an etch stop layer and a second interlayer dielectric layer formed over the stair-shaped structure. The nonvolatile memory device may include an isolation layer passing through the stair-shaped structure, the etch stop layer, and the second interlayer dielectric layer. The nonvolatile memory device may include protective layer interposed between the isolation layer and the etch stop layer, and the protective layer interposed between the isolation layer and the second interlayer dielectric layer. The nonvolatile memory device may include contact plugs coupled to each memory cell, respectively, by passing through the second interlayer dielectric layer and the etch stop layer.Type: GrantFiled: December 27, 2016Date of Patent: July 4, 2017Assignee: SK hynix Inc.Inventor: Kwang-Seok Oh
-
Patent number: 9698050Abstract: A method of manufacturing a semiconductor device includes loading, into a process chamber, a substrate including a first wiring layer having a first interlayer insulating film, a plurality of copper-containing films formed on the first interlayer insulating film and used as a wiring, an inter-wiring insulating film insulating between the plurality of copper-containing films, and a void formed between the plurality of copper-containing films, and a first diffusion barrier film formed on a portion of an upper surface of the copper-containing films to suppress diffusion of a component of the copper-containing films, and forming a second diffusion barrier film configured to suppress diffusion of a component of the copper-containing films on a surface of another portion, on which the first diffusion barrier film is not formed, in the copper-containing films.Type: GrantFiled: July 29, 2016Date of Patent: July 4, 2017Assignee: HITACHI KOKUSAI ELECTRIC INC.Inventors: Hiroshi Ashihara, Naofumi Ohashi, Tsuyoshi Takeda, Toshiyuki Kikuchi
-
Patent number: 9698051Abstract: A semiconductor chip including through silicon vias (TSVs), wherein the TSVs may be prevented from bending and the method of fabricating the semiconductor chip may be simplified, and a method of fabricating the semiconductor chip. The semiconductor chip includes a silicon substrate having a first surface and a second surface; a plurality of TSVs which penetrate the silicon substrate and protrude above the second surface of the silicon substrate; a polymer pattern layer which is formed on the second surface of the silicon substrate, surrounds side surfaces of the protruding portion of each of the TSVs, and comprises a flat first portion and a second portion protruding above the first portion; and a plated pad which is formed on the polymer pattern layer and covers a portion of each of the TSVs exposed from the polymer pattern layer.Type: GrantFiled: January 6, 2015Date of Patent: July 4, 2017Assignee: Samsung Electronics Co., Ltd.Inventors: Jin-ho Chun, Byung-Iyul Park, Hyun-soo Chung, Gil-heyun Choi, Son-kwan Hwang
-
Patent number: 9698052Abstract: In a method of manufacturing an element chip for manufacturing a plurality of element chips by dividing a substrate, where the protruding portions, which are exposed element electrodes, are formed on element regions, protection films made of fluorocarbon film are formed on a second surface and side surfaces of the element chip, and a first surface in a gap by exposing the element chip to second plasma after the substrate is divided by etching. Next, the protection films formed on the second surface and the side surfaces of the element chip are removed while leaving at least a part of the protection film formed in the gap by exposing the element chip to third plasma. Therefore, creep-up of a conductive material in a mounting step is suppressed by the left protection film.Type: GrantFiled: September 15, 2016Date of Patent: July 4, 2017Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.Inventors: Atsushi Harikai, Shogo Okita, Noriyuki Matsubara
-
Patent number: 9698053Abstract: This work provides a new approach for epitaxial liftoff. Instead of using a sacrificial layer that is selectively etched chemically, the sacrificial layer selectively absorbs light that is not absorbed by other parts of the structure. Under sufficiently intense illumination with such light, the sacrificial layer is mechanically weakened, melted and/or destroyed, thereby enabling epitaxial liftoff. The perimeter of the semiconductor region to be released is defined (partially or completely) by lateral patterning, and the part to be released is also adhered to a support member prior to laser irradiation. The end result is a semiconductor region removed from its substrate and adhered to the support member.Type: GrantFiled: November 25, 2014Date of Patent: July 4, 2017Assignee: The Board of Trustees of the Leland Stanford Junior UniversityInventors: Garrett J. Hayes, Bruce M. Clemens
-
Patent number: 9698054Abstract: In a p-type field effect transistor, a pair of spacers are formed over the top surface of a substrate. A channel recess cavity includes an indentation in the substrate top surface between the pair of spacers. A gate stack has a bottom portion in the channel recess cavity and a top portion extending outside the channel recess cavity. A source/drain (S/D) recess cavity has a bottom surface and sidewalls below the substrate top surface. The S/D recess cavity has a portion extending below the gate stack. A strained material is filled the S/D recess cavity.Type: GrantFiled: January 5, 2011Date of Patent: July 4, 2017Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.Inventors: Chun-Fai Cheng, Ka-Hing Fung, Li-Ping Huang, Wei-Yuan Lu
-
Patent number: 9698055Abstract: A method includes etching a semiconductor substrate to form a semiconductor strip and trenches on opposite sidewalls of the semiconductor strip. A spacer is formed on a sidewall of the semiconductor strip which is used as an etching mask to extend the trenches down into the semiconductor substrate. A dielectric material is filled into the trenches and then planarized to form insulation regions in the trenches. The insulation regions are recessed. After the recessing, top surfaces of the insulation regions are lower than a top surface of the semiconductor strip and a gate structure may be formed thereon.Type: GrantFiled: October 6, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chia-Wei Chang, Ryan Chia-Jen Chen, Srisuda Thitinun
-
Patent number: 9698056Abstract: A method of manufacturing a semiconductor device includes providing pre-conductive lines and post-conductive lines for forming a first logic cell and a second logic cell, which are adjacent to each other, and a dummy cell and a third logic cell, which are adjacent to each other. A first conductive line, adjacent to the second logic cell, from among conductive lines of the first logic cell is spaced a first reference distance apart from a second conductive line, adjacent to the first logic cell, from among conductive lines of the second logic cell. A dummy line, which is adjacent to the third logic cell, from among conductive lines of the dummy cell is spaced a second reference distance apart from a third conductive line, which is adjacent to the dummy cell, from among conductive lines of the third logic cell. The second reference distance is greater than the first reference distance.Type: GrantFiled: April 8, 2016Date of Patent: July 4, 2017Assignee: SAMSUNG ELECTRONICS., LTD.Inventors: Ha-Young Kim, Jin Tae Kim, Jae-Woo Seo, Dong-yeon Heo
-
Patent number: 9698057Abstract: An integrated circuit device and method for manufacturing the integrated circuit device is disclosed. The disclosed method provides improved control over a surface proximity and tip depth of an integrated circuit device. In an embodiment, the method achieves improved control by forming a doped region and a lightly doped source and drain (LDD) region in a source and drain region of the device. The doped region is implanted with a dopant type opposite to the LDD region.Type: GrantFiled: June 1, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chun-Feng Nieh, Ming-Huan Tsai, Wei-Han Fan, Yimin Huang, Chun-Fai Cheng, Han-Ting Tsai, Chii-Ming Wu
-
Patent number: 9698058Abstract: The present disclosure provides an embodiment of a fin-like field-effect transistor (FinFET) device. The device includes a substrate having a first gate region, a first fin structure over the substrate in the first gate region. The first fin structure includes an upper semiconductor material member, a lower semiconductor material member, surrounded by an oxide feature and a liner wrapping around the oxide feature of the lower semiconductor material member, and extending upwards to wrap around a lower portion of the upper semiconductor material member. The device also includes a dielectric layer laterally proximate to an upper portion of the upper semiconductor material member. Therefore the upper semiconductor material member includes a middle portion that is neither laterally proximate to the dielectric layer nor wrapped by the liner.Type: GrantFiled: October 27, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Kuo-Cheng Ching, Ka-Hing Fung, Chih-Sheng Chang, Zhiqiang Wu
-
Patent number: 9698059Abstract: The present invention provides a semiconductor device and a method of forming the same. The semiconductor device includes a substrate, a first transistor and a second transistor. The first transistor and the second transistor are disposed on the substrate. The first transistor includes a first channel and a first work function layer. The second transistor includes a second channel and a second work function layer, where the first channel and the second channel include different dopants, and the second work function layer and the first work function layer have a same conductive type and different thicknesses.Type: GrantFiled: April 15, 2015Date of Patent: July 4, 2017Assignee: UNITED MICROELECTRONICS CORP.Inventors: Tian Choy Gan, Chu-Yun Hsiao, Chia-Fu Hsu
-
Patent number: 9698060Abstract: An integrated circuit structure includes an n-type fin field effect transistor (FinFET) and a p-type FinFET. The n-type FinFET includes a first germanium fin over a substrate; a first gate dielectric on a top surface and sidewalls of the first germanium fin; and a first gate electrode on the first gate dielectric. The p-type FinFET includes a second germanium fin over the substrate; a second gate dielectric on a top surface and sidewalls of the second germanium fin; and a second gate electrode on the second gate dielectric. The first gate electrode and the second gate electrode are formed of a same material having a work function close to an intrinsic energy level of germanium.Type: GrantFiled: January 25, 2016Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chih Chieh Yeh, Chih-Sheng Chang, Clement Hsingjen Wann
-
Patent number: 9698061Abstract: A method of forming a polysilicon resistor in replacement metal gate (RMG) processing of finFET devices includes forming a plurality of semiconductor fins over a buried oxide layer of a silicon-on-insulator substrate; forming a trench in the buried oxide layer; forming a polysilicon layer over the semiconductor fins and in the trench, the polysilicon layer having a depression corresponding to a location of the trench; forming an insulating layer over the polysilicon layer, and performing a planarizing operation to remove the insulating layer except for a portion of the insulating layer formed in the depression, thereby defining a protective island; patterning the polysilicon layer to define both a dummy gate structure over the fins and the polysilicon resistor; and etching the polysilicon layer to remove the dummy gate structure, wherein the protective island prevents the polysilicon resistor from being removed.Type: GrantFiled: May 18, 2016Date of Patent: July 4, 2017Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATIONInventors: Veeraraghavan S. Basker, Huiming Bu, Tenko Yamashita
-
Patent number: 9698062Abstract: A system and method for performing a wet etching process is disclosed. The system includes multiple processing stations accessible by a transfer device, including a measuring station to optically measure the thickness of a substrate, a controller to calculate an etch recipe for the substrate, in real time, and cause a single wafer wet etching station to etch the substrate according to the recipe. In addition, the system can measure the after etch thickness and calculate etch recipes, in real time, as a function of the final measurements of a previous substrate. The system can also include an in situ end point detection device for detecting the TSV reveal point while etching TSVs substrates. The system provides an automated solution to adjust etch recipe parameters in real time according to feedback concerning previously etched wafers and precisely control the TSV reveal height and etch duration using end point detection.Type: GrantFiled: February 28, 2013Date of Patent: July 4, 2017Assignee: VEECO PRECISION SURFACE PROCESSING LLCInventors: Laura Mauer, Elena Lawrence, John Taddei, Ramey Youssef
-
Patent number: 9698063Abstract: The invention concerns a method of testing a semiconductor-on-insulator type structure comprising a support substrate, a dielectric layer having a thickness of less than 50 nm and a semiconductor layer, the structure comprising a bonding interface between the dielectric layer and the support substrate or the semiconductor layer or inside the dielectric layer, characterized in that it comprises measuring the charge to breakdown (QBD) of the dielectric layer and in that information is deduced from the measurement relating to the hydrogen concentration in the layer and/or at the bonding interface. The invention also concerns a method of fabricating a batch of semiconductor-on-insulator type structures including carrying out the test on a sample structure from the batch.Type: GrantFiled: February 18, 2013Date of Patent: July 4, 2017Assignee: SOITECInventors: Patrick Reynaud, Walter Schwarzenbach, Konstantin Bourdelle, Jean-Francois Gilbert
-
Patent number: 9698064Abstract: A semiconductor device uses a lead frame, in which an outer lead is electrically connected to an inner lead suspension lead via an inner lead. An encapsulating resin covers the inner lead and part of the outer lead, and a plated film is formed on an outer lead cut surface so that a solder layer is easily formed on all surfaces of the outer lead extending from the encapsulating resin. The inner lead suspension lead includes a narrowed portion that is smaller in cross-sectional area than other portions of the inner lead suspension lead to suppress impact forces generated when the inner lead suspension lead is cut.Type: GrantFiled: March 11, 2015Date of Patent: July 4, 2017Assignee: SII Semiconductor CorporationInventor: Yasuhiro Taguchi
-
Patent number: 9698065Abstract: An apparatus, a system and a method are disclosed. An exemplary apparatus includes a wafer processing chamber. The apparatus further includes radiant heating elements disposed in different zones and operable to heat different portions of a wafer located within the wafer processing chamber. The apparatus further includes sensors disposed outside the wafer processing chamber and operable to monitor energy from the radiant heating elements disposed in the different zones. The apparatus further includes a computer configured to utilize the sensors to characterize the radiant heating elements disposed in the different zones and to provide a calibration for the radiant heating elements disposed in the different zones such that a substantially uniform temperature profile is maintained across a surface of the wafer.Type: GrantFiled: October 2, 2015Date of Patent: July 4, 2017Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.Inventors: Chih-Tien Chang, Sunny Wu, Jo Fei Wang, Jong-I Mou, Chin-Hsiang Lin
-
Patent number: 9698066Abstract: A semiconductor chip includes: a gate pattern on a substrate; an interlayer insulation layer on the gate pattern; a first wiring structure on the interlayer insulation layer; and a defect detection circuit electrically connected to the gate pattern and the first wiring structure. The first wiring structure is electrically connected to the gate pattern via a contact plug through the interlayer insulation layer. The defect detection circuit is electrically connected to the gate pattern and the first wiring structure, and the defect detection circuit is configured to detect defects in the first wiring structure and at least one of the gate pattern and the substrate.Type: GrantFiled: July 5, 2016Date of Patent: July 4, 2017Assignee: SAMSUNG ELECTRONICS CO., LTD.Inventors: Bo-Ra Lee, Jae-Ho Jeong, Nam-Gyu Baek, Hyo-Seok Woo, Hyun-Sook Yoon, Kwang-Yong Lee
-
Patent number: 9698067Abstract: A spacer system for a semiconductor switching device which is formed as a spacer ring and a plurality of insulating elements and supporting elements are arranged in an alternating manner around a circumference of the spacer ring. The insulating element includes a recess receiving a cathode gate connector element. The supporting element includes a projection receiving a spring system for clamping while assembling the switching device. The switching device includes a substrate, a cathode pole piece, an anode pole piece, strain buffer plates and a gate ring. Further connector elements, are electrically connecting the cathode pole piece and the gate ring of the semiconductor switching device to an external circuit unit. The space between the connector elements is minimized in order to reduce the gate circuit impedance, thus enabling an increased maximum turn-off current and further allowing for the use of larger semiconductor switching devices for high power applications.Type: GrantFiled: November 13, 2015Date of Patent: July 4, 2017Assignee: ABB Schweiz AGInventor: Thomas Stiasny
-
Patent number: 9698068Abstract: An electronic device includes an electronic element, and a wire bonded to the electronic element. The electronic element includes a bonding pad to which the wire is bonded. The main component of the bonding pad is Al. A metal is mixed in the wire, and the mixed metal is one of Pt, Pd and Au.Type: GrantFiled: July 8, 2015Date of Patent: July 4, 2017Assignee: ROHM CO., LTD.Inventors: Motoharu Haga, Kaoru Yasuda, Akinori Nii, Yuto Nishiyama
-
Patent number: 9698069Abstract: Provided is a glass composition for protecting a semiconductor junction which contains at least SiO2, B2O3, Al2O3, ZnO and at least two oxides of alkaline earth metals selected from a group consisting of CaO, MgO and BaO, and substantially contains none of Pb, As, Sb, Li, Na and K, wherein an average linear expansion coefficient within a temperature range of 50° C. to 550° C. falls within a range of 3.33×10?6 to 4.13×10?6. A semiconductor device having high breakdown strength can be manufactured using such a glass material containing no lead in the same manner as a conventional case where “a glass material containing lead silicate as a main component” is used.Type: GrantFiled: March 7, 2016Date of Patent: July 4, 2017Assignee: SHINDENGEN ELECTRIC MANUFACTURING CO., LTD.Inventors: Koya Muyari, Koji Ito, Atsushi Ogasawara, Kazuhiko Ito