With Means To Apply Electrical And/or Radiant Energy To Work And/or Coating Material Patents (Class 118/50.1)
  • Patent number: 11848037
    Abstract: A method of protecting a magnetic layer of a magnetic recording medium is provided to reduce the thickness of the magnetic spacing while improving corrosion resistance and tribological performance of the magnetic recording medium.
    Type: Grant
    Filed: July 29, 2016
    Date of Patent: December 19, 2023
    Assignee: National University of Singapore
    Inventors: Barbaros Oezyilmaz, Andreas Volker Stier, Chee Tat Toh, Antonio Helio Castro Neto
  • Patent number: 11557463
    Abstract: In a vacuum processing apparatus including: a vacuum container including a processing chamber therein; a plasma formation chamber; plate members being arranged between the processing chamber and the plasma formation chamber; and a lamp and a window member being arranged around the plate members, in order that a wafer and the plate members are heated by electromagnetic waves from the lamp, a bottom surface and a side surface of the window member is formed of a member transmitting the electromagnetic waves therethrough.
    Type: Grant
    Filed: January 28, 2021
    Date of Patent: January 17, 2023
    Assignee: Hitachi High-Tech Corporation
    Inventors: Hiroyuki Kobayashi, Nobuya Miyoshi, Kazunori Shinoda, Kenji Maeda, Yutaka Kouzuma, Satoshi Sakai, Masaru Izawa
  • Patent number: 11532459
    Abstract: A chemical vapor deposition (CVD) apparatus is provided. The CVD apparatus includes a CVD chamber including multiple wall portions. A pedestal is disposed inside the CVD chamber, configured to support a substrate. A gas inlet port is disposed on one of the wall portions and below a substrate support portion of the pedestal. In addition, a gas flow guiding member is disposed inside the CVD chamber, coupled to the gas inlet port, and configured to dispense cleaning gases from the gas inlet port into the CVD chamber.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: December 20, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chih-Hung Yeh, Tsung-Lin Lee, Yi-Ming Lin, Sheng-Chun Yang, Tung-Ching Tseng
  • Patent number: 11408635
    Abstract: A robotic system is provided for repeatedly and reproducibly applying a sealant to a seam in an assembled heating, ventilation and air conditioning (“HVAC”) duct component. The applied sealant has a predetermined location on the assembled HVAC duct component to seal the seam. An assembled HVAC duct component is thus provided having a robot applied sealant on at least one seam in the assembled HVAC duct component, wherein the applied sealant has at least one of a predetermined location, thickness or coverage. The robotically applied sealant can be applied to a blank for forming the assembled HVAC duct component, wherein the sealant is located at locations forming a seam in the assembled HVAC duct component.
    Type: Grant
    Filed: November 14, 2017
    Date of Patent: August 9, 2022
    Inventor: William R. Gray
  • Patent number: 11367636
    Abstract: A substrate transfer device and method as well as a photolithography apparatus are disclosed. The device includes a motion platform and a plurality of transfer stages which are arranged side-by-side along a first direction are configured to transfer substrates in a second direction that is perpendicular to the first direction. The motion platform includes a base table and a plurality of motion tables in movable connection with the base table. Each of the transfer stages is connected to, and movable in the first direction with, a corresponding one of the motion tables. A pre-alignment assembly for pre-alignment and positional adjustments of the substrates is provided on the motion platform and on the transfer stages. When one of the transfer stages is unloading a first substrate, another one of the transfer stages receives a second substrate and effectuates its first- and second-directional pre-alignment with the aid of the pre-alignment assembly.
    Type: Grant
    Filed: October 9, 2018
    Date of Patent: June 21, 2022
    Assignee: Shanghai Micro Electronics Equipment (Group) Co., Ltd.
    Inventors: Fulong Wu, Dong Ruan
  • Patent number: 11338936
    Abstract: Apparatuses and methods are described for use of an ultraviolet (UV) light source, such as in a cleaning device, in which ozone creation due to reaction of the UV light with oxygen in the air is reduced. An example method includes dispersing, by a gas outlet, oxygen-depleted gas over a UV light source, and directing UV light from the UV light source to pass through the oxygen-depleted gas onto an area. An example apparatus includes a UV light source to direct UV light onto an area, and a gas outlet to disperse oxygen-depleted gas over the UV light source, such that the UV light passes through the oxygen-depleted gas onto the area.
    Type: Grant
    Filed: February 1, 2019
    Date of Patent: May 24, 2022
    Assignee: The Boeing Company
    Inventors: Jeff Siegmeth, Karen Hills, Jamie Childress, Douglas Brown
  • Patent number: 11335891
    Abstract: An irradiation assembly is provided, which is configured to heat and cure a package piece having a first region and a second region, the first region having a first adhesive material therein and the second region having a second adhesive material therein different from the first adhesive material, the irradiation assembly comprising: a light source assembly, configured to emit a first light heating the first adhesive material and to emit a second light curing the second adhesive material; and the package piece is an assembly configured to encapsulate electronic components accommodated therein, the first region is provided with the electronic components and is filled up with the first adhesive material encapsulating the electronic components, and the second region is arranged at periphery of the first region and is filled with the second adhesive material surrounding the first adhesive material.
    Type: Grant
    Filed: November 5, 2018
    Date of Patent: May 17, 2022
    Assignees: BEIJING BOE DISPLAY TECHNOLOGY CO., LTD., BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Jinyu Ren, Yongzhi Song, Bo Zhou
  • Patent number: 11315810
    Abstract: An apparatus for wafer processing includes a wafer pedestal configured to support a wafer, a radiation source configured to provide an electromagnetic radiation to the wafer, and a transparent window disposed between the wafer pedestal and the radiation source. The transparent window has a first zone having a first rough surface, and an Ra value of the first rough surface is between approximately 0.5 ?m and approximately 100 ?m. The apparatus for wafer processing further includes a primary reflector disposed in the radiation source, and a secondary reflector disposed between the transparent window and the radiation source. The rough surface can be provided over the transparent window, the primary reflector, and/or the secondary reflector.
    Type: Grant
    Filed: May 17, 2019
    Date of Patent: April 26, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Tung-Ching Tseng, Sung-Po Yang, Feng-Tao Lee, Shih Fang Chen
  • Patent number: 11315806
    Abstract: Wafer cassettes and methods of use that provide heating a cooling to a plurality of wafers to decrease time between wafer switching in a processing chamber. Wafers are supported on a wafer lift which can move all wafers together or on independent lift pins which can move individual wafers for heating and cooling.
    Type: Grant
    Filed: May 1, 2019
    Date of Patent: April 26, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Jason M. Schaller, Robert Brent Vopat, Paul E. Pergande, Benjamin B. Riordon, David Blahnik, William T. Weaver
  • Patent number: 11261526
    Abstract: An atomic layer deposition (ALD) method in an ALD reactor including a reaction chamber housing a substrate vessel, and an isolated vibration source outside of the reaction chamber or isolated within the reaction chamber. Particulate material within the substrate vessel is coated by self-saturating surface reactions using a top-to-bottom precursor flow passing through the substrate vessel, and movements are caused in the particulate material within the substrate vessel by the isolated vibration source while coating the particulate material.
    Type: Grant
    Filed: September 16, 2016
    Date of Patent: March 1, 2022
    Assignee: Picosun Oy
    Inventor: Marko Pudas
  • Patent number: 11255606
    Abstract: Systems and methods for gas flow in a thermal processing system are provided. In some example implementations a gas flow pattern inside the process chamber of a millisecond anneal system can be improved by implementing one or more of the following: (1) altering the direction, size, position, shape and arrangement of the gas injection inlet nozzles, or a combination hereof; (2) use of gas channels in a wafer plane plate connecting the upper chamber with the lower chamber of a millisecond anneal system; and/or (3) decreasing the effective volume of the processing chamber using a liner plate disposed above the semiconductor substrate.
    Type: Grant
    Filed: December 21, 2016
    Date of Patent: February 22, 2022
    Assignees: Mattson Technology, Inc., Beijing E-Town Semiconductor Technology Co., Ltd.
    Inventors: Christian Pfahler, Joseph Cibere
  • Patent number: 11251028
    Abstract: Substrate processing chambers with integrated shutter garage are provided herein. In some embodiments, a pre-clean substrate processing chamber may include a chamber body, wherein the chamber body includes a first side configured to be attached to mainframe substrate processing tool, and a second side disposed opposite the first side, a substrate support configured to support a substrate when disposed thereon, a shutter disk garage disposed on the second side of the process chamber, and a shutter disk assembly mechanism comprising a rotatable shaft, and a robot shutter arm coupled to the shaft, wherein the robot shutter arm includes a shutter disk assembly support section configured to support a shutter disk assembly, and wherein the shutter disk assembly mechanism is configured to move the robot shutter arm between a storage position within the shutter garage and a processing position within the process chamber over the substrate support.
    Type: Grant
    Filed: May 7, 2019
    Date of Patent: February 15, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Cheng-Hsiung Matt Tsai, Ananthkrishna Jupudi, Sarath Babu, Manjunatha P. Koppa, Hiroyuki Takahama
  • Patent number: 11251019
    Abstract: The plasma device includes a vessel with the first and second molds facing to each other. A work is sealed in the closed first and second molds. The work includes an object to be processed with a part to be processed and a part not to be processed on an outer periphery of the part to be processed, and a masking member covering the part not to be processed. The first mold includes a facing plane portion disposed facing an outer periphery surface of the work, a first recessed portion disposed facing the part to be processed and generating plasma, and a second recessed portion disposed facing the part not to be processed between the facing plane portion and the first recessed portion and generating plasma. A depth of the second recessed portion is different from a depth of the first recessed portion.
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: February 15, 2022
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Tetsuharu Baba, Noriyuki Kato
  • Patent number: 11239057
    Abstract: A showerhead provided in a chamber of a semiconductor manufacturing apparatus and facing a wafer holder includes: a disk-shaped member having a plurality of through holes penetrating the disk-shaped member in a direction of a thickness thereof; a high-frequency conductor embedded in the disk-shaped member; a hole provided in the disk-shaped member, extending in the direction of the thickness of the disk-shaped member and having a bottom exposing a portion of the conductor; an electrode terminal portion disposed in the hole and having a base portion electrically connected to the conductor and a columnar portion provided on the base portion; a cylindrical member having a first end portion fitted outside the columnar portion and facing the conductor and a second end portion facing away from the first end portion, and a sealing member surrounding the first end portion.
    Type: Grant
    Filed: June 25, 2018
    Date of Patent: February 1, 2022
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Koichi Kimura, Akira Mikumo
  • Patent number: 11231346
    Abstract: A lower-side structure forms a specimen chamber in which a specimen base is provided. An upper-side structure forms a nozzle chamber above the specimen chamber. The specimen chamber and the nozzle chamber are separated by a gate valve. In the nozzle chamber, at least a tip opening of a nozzle that ejects a specimen is present. A control device maintains a relationship of gas pressures such that a gas pressure in the specimen chamber is higher than a gas pressure in the nozzle chamber when the lower-side structure and the upper-side structure are in communication with each other.
    Type: Grant
    Filed: January 17, 2018
    Date of Patent: January 25, 2022
    Assignees: JEOL Ltd., National Institute of Advanced Industrial Science
    Inventors: Satoru Akai, Ayumi Morioka, Nobuo Handa, Akira Kurokawa, Kazuhiro Kumagai
  • Patent number: 11209729
    Abstract: Vacuum-integrated photoresist-less methods and apparatuses for forming metal hardmasks can provide sub-30 nm patterning resolution. A metal-containing (e.g., metal salt or organometallic compound) film that is sensitive to a patterning agent is deposited on a semiconductor substrate. The metal-containing film is then patterned directly (i.e., without the use of a photoresist) by exposure to the patterning agent in a vacuum ambient to form the metal mask. For example, the metal-containing film is photosensitive and the patterning is conducted using sub-30 nm wavelength optical lithography, such as EUV lithography.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: December 28, 2021
    Assignee: Lam Research Corporation
    Inventors: Jeffrey Marks, George Andrew Antonelli, Richard A. Gottscho, Dennis M. Hausmann, Adrien LaVoie, Thomas Joseph Knisley, Sirish K. Reddy, Bhadri N. Varadarajan, Artur Kolics
  • Patent number: 11180866
    Abstract: The passivation of a nonlinear optical crystal for use in an inspection tool includes growing a nonlinear optical crystal in the presence of at least one of fluorine, a fluoride ion and a fluoride-containing compound, mechanically preparing the nonlinear optical crystal, performing an annealing process on the nonlinear optical crystal and exposing the nonlinear optical crystal to a hydrogen-containing or deuterium-containing passivating gas.
    Type: Grant
    Filed: April 8, 2014
    Date of Patent: November 23, 2021
    Assignee: KLA Corporation
    Inventors: Yung-Ho Alex Chuang, Vladimir Dribinski
  • Patent number: 11177131
    Abstract: Porogen accumulation in a UV-cure chamber is reduced by removing outgassed porogen through a heated outlet while purge gas is flowed across a window through which a wafer is exposed to UV light. A purge ring having specific major and minor exhaust to inlet area ratios may be partially made of flame polished quartz to improve flow dynamics. The reduction in porogen accumulation allows more wafers to be processed between chamber cleans, thus improving throughput and cost.
    Type: Grant
    Filed: July 6, 2018
    Date of Patent: November 16, 2021
    Assignee: Novellus Systems, Inc.
    Inventors: Lisa Marie Gytri, Jeff Gordon, James Forest Lee, Carmen Balderrama, Joseph Brett Harris, Eugene Smargiassi, Stephen Yu-Hong Lau, George D. Kamian, Ming Xi
  • Patent number: 11158492
    Abstract: A film forming apparatus for forming a film by reactive sputtering includes a processing chamber, a sputter mechanism, a sputtered particle shielding member, a reaction chamber, a substrate support, a substrate moving mechanism, a sputtered particle passage hole, and a reactive gas introducing unit. While moving a substrate by the substrate moving mechanism, sputtered particles, that are released to the discharge space by the sputter mechanism and pass through the sputtered particle passage hole to be injected to the reaction chamber, are reacted with a reactive gas introduced into the reaction chamber, and a reactive sputtering film generated by the reaction is formed on the substrate.
    Type: Grant
    Filed: July 25, 2019
    Date of Patent: October 26, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hiroyuki Toshima, Hiroyuki Iwashita, Tatsuo Hirasawa
  • Patent number: 11143416
    Abstract: An electrical radiation heater arrangement for a vacuum enclosure includes at least two sets of linear heating sources, arranged in a corresponding number of concentric heating zones. The heating sources are arranged directly on the vacuum side of the vacuum enclosure and electrically connected to current rails arranged on the vacuum side with each of the current rails being connected to one electrical feedthrough from vacuum to ambient. Preferably, the heating sources are arranged in a polygon approaching a circle, essentially radially or a combination of both.
    Type: Grant
    Filed: July 29, 2014
    Date of Patent: October 12, 2021
    Assignee: EVATEC AG
    Inventors: Juergen Weichart, Rolf Bazlen
  • Patent number: 11139183
    Abstract: In an embodiment, a system includes: a first robotic arm configured to transport a wafer into a cleaning chamber, wherein the first robotic arm comprises a first hood that substantially covers the wafer when transported on the first robotic arm; the cleaning chamber configured to clean the wafer; a second robotic arm configured to transport the wafer out of the cleaning chamber, wherein the second robotic arm comprises a second hood that substantially covers the wafer when transported on the second robotic arm, wherein the second robotic arm is different than the first robotic arm.
    Type: Grant
    Filed: May 24, 2018
    Date of Patent: October 5, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tsui-Wei Wang, Yung-Li Tsai, Chui-Ya Peng
  • Patent number: 11053580
    Abstract: A method includes providing a substrate, where the substrate has a patterned substrate surface, wherein the patterned substrate surface comprises a first surface region and a second surface region. The method may also include directing a depositing species to the patterned substrate surface; and directing angled ions to the patterned substrate surface, wherein the depositing species forms a deposit on the first surface region and does not form a deposit on the second surface region.
    Type: Grant
    Filed: February 21, 2018
    Date of Patent: July 6, 2021
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Kevin Anglin, Maureen Petterson
  • Patent number: 11037760
    Abstract: A temperature controller of a plasma processing apparatus, a temperature measurer for a plasma processing apparatus, and a plasma processing apparatus, the temperature controller including a movable cooling plate configured to selectively contact a dielectric window in a plasma chamber, the cooling plate having at least one cooling groove through which a cooling agent for cooling the dielectric window is flowable; at least one cooling port including a cooling passageway that is connected to the at least one cooling groove; and a resilient member configured to resiliently press the cooling port toward the cooling plate such that the cooling plate is relatively movable with respect to the dielectric window.
    Type: Grant
    Filed: December 20, 2018
    Date of Patent: June 15, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Hak-Young Kim, Bi-Ah Shin, Bo-Ra Yoon, Jun-Ho Im
  • Patent number: 11018038
    Abstract: A semiconductor package sawing device is provided that includes a semiconductor package sawing unit, an automatic tool providing portion disposed adjacent to the semiconductor package sawing unit, and a semiconductor package alignment portion. The automatic tool providing portion includes a transfer unit for transferring a chuck unit to the semiconductor package sawing unit.
    Type: Grant
    Filed: July 2, 2019
    Date of Patent: May 25, 2021
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yong Ki Kim, Yo Se Eum
  • Patent number: 11007574
    Abstract: An apparatus (1) for manufacturing of three-dimensional objects (2), especially SLM or SLS apparatuses (selective laser melting apparatus or selective laser sintering apparatus), significantly comprising a construction room (4) arranged in a housing (3) of the apparatus (1).
    Type: Grant
    Filed: September 19, 2017
    Date of Patent: May 18, 2021
    Assignee: CONCEPT LASER GMBH
    Inventors: Frank Herzog, Florian Bechmann, Philipp Schumann, Marie-Christin Ebert
  • Patent number: 10998206
    Abstract: Prior to heat treatment of a semiconductor wafer to be treated, a dummy wafer is placed on a susceptor made of quartz, and the susceptor is preheated by irradiation with light from halogen lamps. A controller controls an output from the halogen lamps, based on the temperature of the susceptor measured with a radiation thermometer. The radiation thermometer receives infrared radiation of a wavelength longer than 4 ?m to measure the temperature of the susceptor. The radiation thermometer is able to receive only infrared radiation emitted from the susceptor to accurately measure the temperature of the susceptor, regardless of whether or not a wafer is held by the susceptor, because quartz is opaque in a wavelength range longer than 4 ?m.
    Type: Grant
    Filed: June 13, 2019
    Date of Patent: May 4, 2021
    Assignee: SCREEN HOLDINGS CO., LTD.
    Inventor: Yukio Ono
  • Patent number: 10954591
    Abstract: The invention relates to a method for producing a structured coating on a substrate, wherein the method comprises the following steps: providing a substrate having a surface to be coated and producing a structured coating on the surface of the substrate to be coated by depositing at least one evaporation coating material, namely aluminium oxide, silicon dioxide, silicon nitride, or titanium dioxide, on the surface of the substrate to be coated by means of thermal evaporation of the at least one evaporation coating material and using additive structuring. The invention further relates to a coated substrate and a semi-finished product having a coated substrate.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: March 23, 2021
    Assignee: MSG LITHOGLAS AG
    Inventors: Jürgen Leib, Ulli Hansen, Simon Maus
  • Patent number: 10947617
    Abstract: The tunable mask in the current invention comprises three parts: a mask body, an upper piece, and a lower piece. When these parts are assembled together, either or both of the upper and lower pieces' positions on the mask body can be adjusted to form various shapes of the tunable mask. Different shapes of upper and lower pieces are also available for use. During the coating process in a physical vapor deposition (PVD) system, a single or multiple tunable masks are positioned between the substrate and the evaporation source. Uniformity of the coating thickness on the substrate is improved when the tunable mask provides an optimal shielding effect with a selective shape.
    Type: Grant
    Filed: December 3, 2017
    Date of Patent: March 16, 2021
    Inventor: Shiping Cheng
  • Patent number: 10937643
    Abstract: The invention relates to an infrared device comprising a resistive element suspended in a cavity formed in a main element, and capable of transmitting infrared radiation when it is fed with an electric current. In particular, the main element is at least partly covered on the outer surface thereof and/or the inner surface thereof with a reflective coating. The use of the reflective coating makes it possible to at least partly contain infrared radiation transmitted by the resistive element in the cavity.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: March 2, 2021
    Assignee: COMMISSARIAT A L'ENERGIE ATOMIQUE ET AUX ENERGIES ALTERNATIVES
    Inventors: Salim Boutami, Emerick Lorent
  • Patent number: 10917960
    Abstract: A deposition apparatus includes a plasma generator for generating a plasma by arc discharge, and a deposition unit for forming a film on a member by the plasma generated by the plasma generator. The plasma generator includes a target holder for holding a target and applying a negative potential to the target, an anode to which a positive potential is applied, and a capture for capturing droplets from the target. The anode has an opening, and the capture is arranged in the opening.
    Type: Grant
    Filed: December 30, 2016
    Date of Patent: February 9, 2021
    Assignee: CANON ANELVA CORPORATION
    Inventors: Masahiro Atsumi, Hidekazu Nishimura, Masahiro Shibamoto, Hiroshi Yakushiji
  • Patent number: 10896829
    Abstract: An excimer laser annealing apparatus is provided. The excimer laser annealing apparatus includes: a laser output channel, at least one first intake pipe and at least one second intake pipe, the laser output channel includes two end faces facing to each other and a side face connected with both of the two end faces; the at least one first intake pipe is located on the side face; and the at least one second intake pipe is located on at least one of the two end faces.
    Type: Grant
    Filed: May 16, 2018
    Date of Patent: January 19, 2021
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., CHENGDU BOE OPTOELECTRONICS TECHNOLOGY CO., LTD.
    Inventors: Yu Zhang, Zubin Lv, Yin Xie
  • Patent number: 10867825
    Abstract: A wafer boat is described for the plasma treatment of disc-shaped wafers, in particular semiconductor wafers for semiconductor or photovoltaic applications, which has a plurality of plates positioned parallel to each other made of an electrically conductive material, which have at least one carrier for a wafer on each side which faces another plate and define a receiving space for the wafers on the plates. The wafer boat also has a plurality of spacer elements, which are positioned between directly adjacent plates in order to position the plates parallel to each other, wherein the spacer elements are electrically conductive. Also a plasma treatment apparatus for wafers and a method for the plasma treatment of wafers is described.
    Type: Grant
    Filed: March 31, 2016
    Date of Patent: December 15, 2020
    Inventor: Wilfried Lerch
  • Patent number: 10851451
    Abstract: A method of deposition of a wear resistant DLC layer onto substrates in a vacuum chamber from a graphite cathode by means of a low-voltage pulsed arc. Current pulses alternate with a holding current and a cathode spot moves on a surface of a graphite cathode. The motion of the cathode spot on the surface of the graphite cathode being steered by a magnetic field generated by a magnetic field source arranged under the surface of the graphite cathode. The value of the current pulses is 250 to 1000 A, the value of the holding current is 40 to 200 A, the frequency of the pulses is 100 to 5000 Hz and the pulse duty cycle is 1 to 90%, the intensity of the magnetic field in the place of the cathode spot being 5 to 40 mT.
    Type: Grant
    Filed: January 26, 2017
    Date of Patent: December 1, 2020
    Assignee: PLATIT A.S.
    Inventors: Mojmir Jilek, Mojmir Jilek
  • Patent number: 10844486
    Abstract: A reactor having a housing that encloses a gas delivery system operatively connected to a reaction chamber and an exhaust assembly. The gas delivery system includes a plurality of gas lines for providing at least one process gas to the reaction chamber. The gas delivery system further includes a mixer for receiving the at least one process gas. The mixer is operatively connected to a diffuser that is configured to diffuse process gases. The diffuser is attached directly to an upper surface of the reaction chamber, thereby forming a diffuser volume therebetween. The diffuser includes at least one distribution surface that is configured to provide a flow restriction to the process gases as they pass through the diffuser volume before being introduced into the reaction chamber.
    Type: Grant
    Filed: October 10, 2019
    Date of Patent: November 24, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: Eric James Shero, Mohith E. Verghese, Carl Louis White, Herbert Terhorst, Dan Maurice
  • Patent number: 10847337
    Abstract: In one example, a chamber inlet assembly includes a chamber inlet, an outer coupling for a delivery line, and an inner coupling for a processing region of a processing chamber. The inner coupling and the outer coupling are on inner and outer ends, respectively, of the chamber inlet, wherein a cross-sectional area of the inner coupling is larger than a cross-sectional area of the outer coupling. The chamber inlet assembly also includes a longitudinal profile including the inner and outer ends and a first side and a second side, the first and second sides being on opposite sides of the chamber inlet, wherein a shape of the longitudinal profile comprises at least one of triangular, modified triangular, trapezoidal, modified trapezoidal, rectangular, modified rectangular, rhomboidal, and modified rhomboidal. The chamber inlet assembly also includes cassette including the chamber inlet and configured to set into a side wall of the processing chamber.
    Type: Grant
    Filed: January 15, 2019
    Date of Patent: November 24, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Eric Kihara Shono, Vishwas Kumar Pandey, Christopher S. Olsen, Hansel Lo, Agus Sofian Tjandra, Taewan Kim, Tobin Kaufman-Osborn
  • Patent number: 10840114
    Abstract: Apparatus and method for heating a wafer having semiconductor material. The apparatus includes: a chamber, a source of radiant heat; a source of gas; and a susceptor disposed in the chamber to receive and absorb heat radiated by the source of radiant heat; the susceptor having an opening therein to allow a flow of gas to pass from the source of gas to pass through an interior region of the susceptor and over the wafer.
    Type: Grant
    Filed: April 24, 2017
    Date of Patent: November 17, 2020
    Assignee: Raytheon Company
    Inventors: Kezia Cheng, Christopher J. MacDonald, Kamal Tabatabaie Alavi, Adrian D. Williams
  • Patent number: 10830367
    Abstract: A fluid flow path of a joining block includes a vertical flow path and a horizontal flow path. The joining block is constrained on a rail member so as to be capable of moving in a longitudinal direction. A fluid control device is supported by the rail member via the joining block and includes a screw hole, and a tightening bolt that has passed through a body of the fluid control device is screwed into the screw hole. A gasket between the joining block and the body is compressed between the body and the joining block by a tightening force of the tightening bolt. The screw hole includes the tip portion closed above the horizontal flow path and at least partially overlaps the horizontal flow path in a planar view.
    Type: Grant
    Filed: June 19, 2017
    Date of Patent: November 10, 2020
    Assignee: FUJIKIN INCORPORATED
    Inventors: Kenji Aikawa, Tsutomu Shinohara, Hajime Nakagawa, Takahiro Matsuda, Akihiro Harada
  • Patent number: 10820402
    Abstract: Aspects of the invention may relate to a treatment device and method for providing plasma treatments of lenses. The treatment device for treating a lens, included in an operational device, may include: at least one first electrode located in proximity to a first surface of the lens, such that the first surface is to be treated by the treatment device; at least one second electrode; and an RF generator electrically associated with the electrodes for providing RF energy to the at least one first and at least one second electrodes in an amount sufficient to generate plasma on the first surface of the lens.
    Type: Grant
    Filed: March 15, 2018
    Date of Patent: October 27, 2020
    Assignee: Plasmatica Ltd.
    Inventors: Amnon Lam, Adam Sagiv
  • Patent number: 10793999
    Abstract: An apparatus for depositing a polymer layer containing nanomaterial on a substrate material includes a carrier for carrying the substrate material; a transport structure for providing a polymerization material near a surface of the substrate material and conducting a gas flow near the surface of the substrate material with the gas flow comprising a nanomaterial; and a plasma chamber wherein a plasma electrode structure is arranged for depositing the polymer layer containing nanomaterial on the surface of the substrate material by applying a plasma polymerization process.
    Type: Grant
    Filed: September 10, 2012
    Date of Patent: October 6, 2020
    Assignee: Nederlandse Organisatie Voor Toegepasst-Natuurwetenschappelijk Onderzoek TNO
    Inventors: Marcel Simor, Ales Fiala
  • Patent number: 10770265
    Abstract: An electron microscope (EM) preparation and imaging system including an EM device and a sample preparation device for forming a vitreous ice layer containing a liquid sample through vitrification, which are located within a sealable environment. The sample preparation apparatus includes a cryogenically-cooled stage that receives a sample deposit surface, such as a cryo-EM grid, which is cryogenically cooled through direct contact with the stage. A sample dispenser is movable with respect to the stage and is configured to deposit a liquid sample onto the sample deposit surface at a selected rate of deposition. Once the liquid sample is deposited onto the sample deposit surface by the sample dispenser, it is vitrified automatically in place.
    Type: Grant
    Filed: March 19, 2020
    Date of Patent: September 8, 2020
    Assignee: Neptune Fluid Flow Systems LLC
    Inventors: Trevor Allen McQueen, Winnie Liang
  • Patent number: 10770319
    Abstract: Embodiments described herein provide processing chambers that include an enclosure for a processing volume, a rotatable support within the enclosure, the support having a shaft that extends outside the enclosure, wherein the shaft has a signal feature located outside the processing volume, an energy module within the enclosure, wherein the shaft extends through the energy module, one or more directed energy sources coupled to the enclosure, and one or more signalers positioned proximate to the signal feature, each signaler coupled to at least one of the directed energy sources.
    Type: Grant
    Filed: February 12, 2019
    Date of Patent: September 8, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shu-Kwan Danny Lau, Zhiyuan Ye, Zuoming Zhu, Nyi O. Myo, Errol Antonio C. Sanchez, Schubert S. Chu
  • Patent number: 10737290
    Abstract: The present invention has to do with an efficient system for coating and caring engineered wood products (EWP) in general, and the edges of EWPs in particular. An efficient system for coating and curing coatings is provided.
    Type: Grant
    Filed: January 13, 2018
    Date of Patent: August 11, 2020
    Assignee: Heraeus Noblelight GmbH
    Inventor: Michael J Chapman
  • Patent number: 10741457
    Abstract: Embodiments of the present invention provide apparatus and method for reducing non uniformity during thermal processing. One embodiment provides an apparatus for processing a substrate comprising a chamber body defining a processing volume, a substrate support disposed in the processing volume, wherein the substrate support is configured to rotate the substrate, a sensor assembly configured to measure temperature of the substrate at a plurality of locations, and one or more pulse heating elements configured to provide pulsed energy towards the processing volume.
    Type: Grant
    Filed: June 29, 2017
    Date of Patent: August 11, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Wolfgang R. Aderhold, Aaron Muir Hunter, Joseph M. Ranish
  • Patent number: 10734198
    Abstract: A microwave plasma reactor for manufacturing synthetic diamond material via chemical vapour deposition, the microwave plasma reactor comprising: a plasma chamber defining a resonant cavity for supporting a primary microwave resonance mode having a primary microwave resonance mode frequency f; a plurality of microwave sources coupled to the plasma chamber for generating and feeding microwaves having a total microwave power P? into the plasma chamber; a gas flow system for feeding process gases into the plasma chamber and removing them therefrom; and a substrate holder disposed in the plasma chamber and comprising a supporting surface for supporting a substrate on which the synthetic diamond material is to be deposited in use, wherein the plurality of microwave sources are configured to couple at least 30% of the total microwave power P? into the plasma chamber in the primary microwave resonance mode frequency f, and wherein at least some of the plurality of microwave sources are solid state microwave sources.
    Type: Grant
    Filed: June 10, 2015
    Date of Patent: August 4, 2020
    Assignee: Element Six Technologies Limited
    Inventors: John Robert Brandon, Ian Friel, Michael Andrew Cooper, Geoffrey Alan Scarsbrook, Ben Llewlyn Green
  • Patent number: 10732046
    Abstract: A system and method for thermally calibrating semiconductor process chambers is disclosed. In various embodiments, a first non-contact temperature sensor can be calibrated to obtain a first reading with the semiconductor process chamber. The first reading can be representative of a first temperature at a first location. The first non-contact temperature sensor can be used to obtain a second reading representative of a second temperature of an external thermal radiation source. The second temperature of the external thermal radiation source can be adjusted to a first temperature setting of the external radiation source such that the second reading substantially matches the first reading. Additional non-contact temperature sensor(s) can be directed at the external thermal radiation source and can be adjusted such that the reading(s) of the additional non-contact sensors are calibrated and matched to one another.
    Type: Grant
    Filed: September 10, 2018
    Date of Patent: August 4, 2020
    Assignee: ASM IP HOLDING BV
    Inventors: Yen Lin Leow, Caleb Koy Miskin, Hyeongeu Kim
  • Patent number: 10720633
    Abstract: A high speed deposition apparatus for the manufacture of solid state batteries. The apparatus can be used for the manufacture of solid state multilayer stacked battery devices via a vacuum deposition process. In various embodiments, the manufacturing apparatus can include a containment vessel, a reactor region, a process region, a work piece, one or more vacuum chambers, and an energy source. A complete stack of battery layers can be manufactured in a single vacuum cycle, having background gas, pressure, and deposition rate optimized and controlled for the deposition of each layer. The work piece can include a drum and a substrate, which can be a commercial polymer or metallic web, that are temperature controlled. Masks can be used to delineate or shape layers within the multi-layer stacked electrochemical device manufactured by embodiments of the apparatus.
    Type: Grant
    Filed: September 15, 2017
    Date of Patent: July 21, 2020
    Assignee: Dyson Technology Limited
    Inventors: Chia-Wei Wang, Yen-Hung Chen, HyonCheol Kim, Marc Langlois, Myoungdo Chung, Ann Marie Sastry, Xiangchun Zhang
  • Patent number: 10714362
    Abstract: Wafer processing with no dummies is sets forth, wherein an apparatus includes: a boat that hold a product substrates in array at all of positions where substrates may be held; a tubular reactor that houses the boat; a furnace surrounding an upper side and a lateral side of the reactor; a heater provided in the furnace and adapted to heat a side portion of the reactor; a ceiling heater provided in the furnace and adapted to heat a ceiling of the reactor; and a cap heater provided inside the reactor and below the boat; a gas supply mechanism individually supplying a gas to a top side of each of the product substrates.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: July 14, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Shuhei Saido
  • Patent number: 10704138
    Abstract: The present disclosure provides a vacuum heating device including: a vacuum chamber; a plurality of heating units each provided in the vacuum chamber to heat a tray; and a nitrogen gas generator unit configured to be in fluid communication with the vacuum chamber.
    Type: Grant
    Filed: August 11, 2017
    Date of Patent: July 7, 2020
    Assignees: BOE TECHNOLOGY GROUP CO., LTD., HEFEI BOE DISPLAY TECHNOLOGY CO., LTD.
    Inventors: Ruifeng Mao, Sangkee Kim, Guanjie Cheng, Yuanhu Li
  • Patent number: 10676814
    Abstract: A pulsed laser deposition system comprising a split ablation target having a first half and a second half, wherein the target contains a film material for deposition on a substrate, and wherein the film material is comprised of a plurality of component elements, the elements varying in volatility, and wherein one half of the split ablation target contains more of the most volatile elements being deposited than the other half, and wherein the split ablation target is rotated about its center. A laser beam is rastered back and forth across the target such that the laser spends more time on one half of the split target than the other half depending on the elemental volatility. The target rotation and laser beam rastering are coordinated simultaneously to vary the elemental composition of the resulting film deposition.
    Type: Grant
    Filed: September 28, 2017
    Date of Patent: June 9, 2020
    Inventors: Wayne C. McGinnis, Alexandru Hening, Teresa Emery-Adleman
  • Patent number: 10655222
    Abstract: The present disclosure relates to methods and apparatus for a thin film encapsulation (TFE). In one embodiment a process kit for use in an atomic layer deposition (ALD) chamber is disclosed and includes a dielectric window, a sealing frame, and a mask frame connected with the sealing frame, wherein the mask frame has a gas inlet channel and a gas outlet channel formed therein on opposing sides thereof.
    Type: Grant
    Filed: December 1, 2017
    Date of Patent: May 19, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Srikanth V. Racherla, Suhas Bhoski, Xiangxin Rui