With Means To Apply Electrical And/or Radiant Energy To Work And/or Coating Material Patents (Class 118/50.1)
  • Patent number: 10655223
    Abstract: Embodiments described herein relate to apparatus and coating methods to reduce chamber arcing, for example, in HDP-CVD, PECVD, PE-ALD and Etch chambers. The apparatus include a ring shaped gas distributor used for in-situ deposition of coating materials, and a process chamber including the same. The ring shaped gas distributor includes a ring shaped body having at least one gas entrance port disposed on a first side thereof and a plurality of gas distribution ports disposed on a first surface of the ring shaped body. The plurality of gas distribution ports are arranged in a plurality of evenly distributed rows. The plurality of gas distribution ports in a first row of the plurality of evenly distributed rows is adapted to direct gas at an exit angle different from an exit angle of the plurality of gas distribution ports in a second row of the plurality of evenly distributed rows.
    Type: Grant
    Filed: February 5, 2019
    Date of Patent: May 19, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Lin Zhang, Xuesong Lu, Andrew V. Le, Jang Seok Oh
  • Patent number: 10644007
    Abstract: An electrical device including a substrate structure including a relaxed region of alternating layers of at least a first semiconductor material and a second semiconductor material. A first region of the substrate structure includes a first type conductivity semiconductor device having a first strain over a first portion of the relaxed region. A second region of the substrate structure includes a second type conductivity semiconductor device having a second strain over a second portion of the relaxed region. A third region of the substrate structure including a trench capacitor extending into relaxed region, wherein a width of the trench capacitor defined by the end to end distance of the node dielectric for the trench capacitor alternates between at least two width dimensions as a function of depth measured from the upper surface of the substrate structure.
    Type: Grant
    Filed: August 2, 2018
    Date of Patent: May 5, 2020
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 10627800
    Abstract: A portion position calculator calculates positions of first to fifth portions of a substrate on a hand based on detection signals of first to fifth detectors. An imaginary circle calculator calculates four different imaginary circles based on the positions of the first to fourth portions, respectively, to calculate a center position of each imaginary circle. A substrate position determiner calculates a plurality of amounts of deviation among the plurality of center positions. When all of the plurality of amounts of deviation are not more than a threshold value, the substrate position determiner determines a position of the substrate on the hand based on any or all of the four imaginary circles.
    Type: Grant
    Filed: January 4, 2018
    Date of Patent: April 21, 2020
    Assignee: SCREEN Holdings, Co., Ltd.
    Inventor: Joji Kuwahara
  • Patent number: 10622224
    Abstract: A precleaning chamber (100, 200, 300) and a plasma processing apparatus, comprising a cavity (20) and a dielectric window (21, 21?) disposed at the top of the cavity (20), a base (22) and a process assembly (24) surrounding the base (22) are disposed in the precleaning chamber (100, 200, 300), and the base (22), the process assembly (24) and the dielectric window (21, 21?) together form a process sub-cavity (211) above the base (22); and a space of the cavity (20) located below the base (22) is used as a loading/unloading sub-cavity (202), the precleaning chamber (100, 200, 300) further comprises a gas is device (32), the gas inlet device (32) comprises a gas inlet (323), and the gas inlet (323) is configured to directly transport a process gas into the process sub-cavity (211) from above the process assembly (24).
    Type: Grant
    Filed: November 25, 2015
    Date of Patent: April 14, 2020
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Qing She, Peng Chen, Mengxin Zhao, Peijun Ding, Kui Xu, Guodong Bian
  • Patent number: 10593572
    Abstract: Wafer processing with no dummies is described. A apparatus includes: a boat that hold a product substrates in array at all of positions where substrates can be held; a tubular reactor that houses the boat; a furnace surrounding an upper side and a lateral side of the reactor; a heater provided in the furnace and adapted to heat a side portion of the reactor; a ceiling heater provided in the furnace and adapted to heat a ceiling of the reactor; and a cap heater provided inside the reactor and below the boat; a gas supply mechanism individually supplying a gas to a top side of each of the product substrates.
    Type: Grant
    Filed: May 2, 2019
    Date of Patent: March 17, 2020
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Shuhei Saido
  • Patent number: 10584420
    Abstract: A substrate liquid processing apparatus 1 includes a substrate holding unit 52 configured to hold a substrate W; a processing liquid supply unit 53 configured to supply a processing liquid L1 onto a top surface of the substrate W held by the substrate holding unit 52; and a cover body 6 configured to cover the substrate W. Here, the cover body 6 includes a ceiling unit 61 disposed above the substrate W, a sidewall unit 62 downwardly extended from the ceiling unit 61, and a heating unit 63 provided at the ceiling unit 61 and configured to heat the processing liquid L1 on the substrate W. The sidewall unit 62 of the cover body 6 is placed at an outer periphery side of the substrate W when the processing liquid L1 on the substrate W is heated.
    Type: Grant
    Filed: June 27, 2017
    Date of Patent: March 10, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Motomatsu, Satoshi Kaneko, Kazunori Sakamoto
  • Patent number: 10566216
    Abstract: Disclosed are methods and apparatuses for recirculating gas in an equipment front end module (“EFEM”), including the ability to provide a gas during recirculation and control the gas flow, pressure, and composition of the environment in the EFEM during recirculation.
    Type: Grant
    Filed: June 9, 2017
    Date of Patent: February 18, 2020
    Assignee: Lam Research Corporation
    Inventors: Brandon Lee Senn, Peter R. Wassei, Scott Vernon Wong, Silvia Rocio Aguilar Amaya, Todd Anthony Lopes, Richard Howard Gould, James Donald Keller, Steven Edmund Pracko
  • Patent number: 10566174
    Abstract: A plasma processing apparatus includes a processing container that defines a processing space, a gas supply unit provided on a sidewall of the processing container and configured to supply gas to the processing space, a dielectric member having a facing surface that faces the processing space, and an antenna provided on a surface opposite to the facing surface of the dielectric member and configured to radiate microwaves that turn the gas into plasma to the processing space through the dielectric member. The gas supply unit includes a transport hole transporting the gas to a position where the gas does not reach the processing space in the inside of the sidewall of the processing container and an injection hole communicated to the transport hole and configured to inject the gas transported to the position into the processing space. The injection hole has a diameter larger than that of the transport hole.
    Type: Grant
    Filed: November 4, 2015
    Date of Patent: February 18, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Jun Yoshikawa
  • Patent number: 10538840
    Abstract: A method for depositing film on a substrate (16) through pulsed laser deposition, which includes: generating at least two pulsed laser beams (4, 5, 6) with at least one laser (1), and directing the at least two laser beams (4, 5, 6) to different target spots (9, 10, 11) of a target (12), whereby the target (12) is ablated and at least two plasma plumes (13) are created. The plasma plumes (13) create a flow of target material towards the substrate (16) and the target material is deposited onto the substrate (16) at a deposition area (24). The plasma plumes (13) created by the at least two laser beams (4, 5, 6) are spatially and temporally superimposed, and the target spots (9, 10, 11) are separated from each other at a distance that allows a gas-dynamical interaction of the created plasma plumes (13).
    Type: Grant
    Filed: September 13, 2017
    Date of Patent: January 21, 2020
    Assignee: BRUKER HTS GMBH
    Inventors: Alexander Usoskin, Thomas Schneider
  • Patent number: 10541150
    Abstract: Flash lamps connected to short-pulse circuits and flash lamps connected to long-pulse circuits are alternately arranged in a line. The duration of light emission from the flash lamps connected to the long-pulse circuits is longer than the duration of light emission from the flash lamps connected to the short-pulse circuits. A superimposing of a flash of light with a high peak intensity from the flash lamps that emit light for a short time and a flash of light with a gentle peak from the flash lamps that emit light for a long time can increase the temperature of even a deep portion of a substrate to an activation temperature or more without heating a shallow portion near the substrate surface more than necessary. This achieves the activation of deep junctions without causing substrate warpage or cracking.
    Type: Grant
    Filed: August 4, 2016
    Date of Patent: January 21, 2020
    Assignee: SCREEN Holdings Co., Ltd.
    Inventor: Kenichi Yokouchi
  • Patent number: 10529598
    Abstract: A microwave heat treatment apparatus includes: a processing vessel configured to accommodate a substrate therein; a support member configured to rotatably support the substrate in the processing vessel; a microwave introduction device configured to generate a microwave for processing the substrate and introduce the microwave into the processing vessel; a first cooling gas introduction part installed to face a main surface of the substrate supported by the support member, the main surface being a target to be processed; a second cooling gas introduction part installed in a lateral side of the substrate supported by the support member; and a control unit configured to independently control the introduction of a cooling gas from the first cooling gas introduction part and the introduction of the cooling gas from the second cooling gas introduction part.
    Type: Grant
    Filed: November 21, 2014
    Date of Patent: January 7, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Seokhyoung Hong, Taichi Monden, Yoshihiro Miyagawa, Masaki Koizumi
  • Patent number: 10519542
    Abstract: A purging method for purging an interior of a processing container of a substrate processing apparatus after a film forming process is executed on a wafer in the processing container, includes a first process of pressurizing a first gas in a first line of the substrate processing apparatus and then discharging the first gas into the processing container, and a second process of supplying a second gas into the processing container. The second process is executed after execution of the first process, the first gas includes an inert gas, and the second gas includes a hydrogen gas, a nitrogen-containing gas, a rare gas or a combination of these gases.
    Type: Grant
    Filed: December 20, 2017
    Date of Patent: December 31, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Hideaki Yamasaki, Takeshi Itatani
  • Patent number: 10515813
    Abstract: Embodiments of mechanisms of an etching apparatus are provided. The etching apparatus includes a processing chamber. The etching apparatus also includes a gas distribution plate disposed in the processing chamber and comprising a number of exhaust openings. The etching apparatus further includes a number of end-point detectors disposed on the gas distribution plate. The gas distribution plate is configured to spurt gas into the processing chamber via the exhaust openings during a semiconductor process.
    Type: Grant
    Filed: December 10, 2013
    Date of Patent: December 24, 2019
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chi-Wei Chang, Ping-Ling Fan
  • Patent number: 10514521
    Abstract: Methods for manufacturing cables and cables assemblies include providing powder particles within a tube extruded about optical fiber. The particles may be accelerated so that as they strike the tube and mechanically attach to the tube.
    Type: Grant
    Filed: July 14, 2016
    Date of Patent: December 24, 2019
    Assignee: Corning Optical Communications LLC
    Inventors: Rodney Maurice Burns, Andrey V. Filippov, Riley Saunders Freeland, Daniel Warren Hawtof, Warren Welborn McAlpine, Catharina Lemckert Tedder
  • Patent number: 10504718
    Abstract: During at least part of a time period for a pressure increasing step of increasing a pressure inside a processing container from a pressure lower than a critical pressure of a processing fluid to a pressure higher than the critical pressure, pressure increasing is performed by supplying the processing fluid into the processing container from a fluid supply source while discharging the processing fluid from the processing container at a controlled discharge flow rate. Particles attached to the surfaces of members inside the processing container travel upward by the supply of the processing fluid into the processing container from the fluid supply source. The particles are discharged along with the processing fluid from the processing container.
    Type: Grant
    Filed: November 16, 2017
    Date of Patent: December 10, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Hiroki Ohno, Keisuke Egashira, Gentaro Goshi, Yosuke Kawabuchi, Shotaro Kitayama, Hiroshi Marumoto, Takuro Masuzumi, Kento Tsukano, Hiromi Kiyose
  • Patent number: 10497591
    Abstract: Disclosed is a load lock chamber which includes a chamber body including: at least one pair of cavities, defined in a layer structure of the chamber body to carry one or more wafer substrates; at least one internal conduit, defined between and coupled with the paired cavities, such that the paired cavities are communicated with each other and capable of conducting gas refilling and exhaustion; and a plurality of wafer supports for carrying the wafer substrates, the plurality of wafer supports being securely received in the paired cavities and able to calibrate with a machine arm frontend finger, wherein the wafer support includes grooves defined thereon for calibrating the machine arm frontend finger.
    Type: Grant
    Filed: September 16, 2016
    Date of Patent: December 3, 2019
    Assignee: PIOTECH CO., LTD.
    Inventors: Ren Zhou, Xuyen Pham, Brian Lu, Sean Chang, Shicai Fang, Jie Lian, Enguo Men
  • Patent number: 10492287
    Abstract: Apparatuses and methods for accelerating charged particles including a charged particle source configured to provide charged particles, an accelerator including: a cavity having one or more inlets and one or more outlets, an electro-magnet substantially surrounding at least a portion of the cavity, a conductor disposed longitudinally within the cavity configured to accelerate the charged particles entering the cavity through the one or more inlets via a radio frequency wave applied to the cavity, wherein the radio frequency wave operates in transverse electromagnetic mode, and a target configured to receive the accelerated charged particles via the one or more outlets.
    Type: Grant
    Filed: September 6, 2018
    Date of Patent: November 26, 2019
    Assignees: OMEGA-P R&D, INC., YALE UNIVERSITY
    Inventors: Yong Jiang, Jay L. Hirshfield
  • Patent number: 10486191
    Abstract: Disclosed is an apparatus for evaluation of a deposition process in organic thin film-manufacturing processes using a chamber employing a deposition-preventing plate, by which it can be predicted whether a thin film layer formed on the deposition-preventing plate will be delaminated. Also provided is a method for evaluating a deposition process of an organic material, using the apparatus.
    Type: Grant
    Filed: August 26, 2017
    Date of Patent: November 26, 2019
    Assignees: SFC CO., LTD., SAMSUNG DISPLAY CO., LTD.
    Inventors: Sung-Wan Pyo, Oun-Gyu Lee, Young-Hwan Park, Myeong-Suk Kim, Soung Wook Kim
  • Patent number: 10431479
    Abstract: Disclosed is a heat treatment apparatus including: a processing container configured to accommodate a substrate; a furnace body having a heater configured to heat the substrate accommodated in the processing container and provided around the processing container; a blower configured to supply a coolant to a space between the processing container and the furnace body; and a controller having a continuous operation mode in which the blower is continuously energized and an intermittent operation mode in which energization and de-energization of the blower are repeated, and configured to control driving of the blower based on an instruction voltage. The controller drives the blower in the intermittent operation mode when the instruction voltage is higher than 0 V and lower than a predetermined threshold voltage.
    Type: Grant
    Filed: January 8, 2018
    Date of Patent: October 1, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Tatsuya Yamaguchi, Kazuteru Obara, Yasuaki Kikuchi, Koji Yoshii
  • Patent number: 10424462
    Abstract: A processing system is disclosed, having a multiple power transmission elements with an interior cavity that may be arranged around a plasma processing chamber. Each of the power transmission elements may propagates electromagnetic energy that may be used to generate plasma within the plasma process chamber. The power transmission elements may be designed to accommodate a range of power and frequency ranges that range from 500 W to 3500 W and 0.9 GHz to 9 GHz. In one embodiment, the power transmission elements may include a rectangular interior cavity that enables the generation of a standing wave with two or more modes. In another embodiment, the power transmission elements may have a cylindrical interior cavity that may be placed along the plasma processing chamber or have one end of the cylinder placed against the plasma processing chamber.
    Type: Grant
    Filed: November 6, 2014
    Date of Patent: September 24, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Merritt Funk, Megan Doppel, John Entralgo, Jianping Zhao, Toshihisa Nozawa
  • Patent number: 10403479
    Abstract: A field emission enhanced handheld atmospheric pressure plasma generator includes: a main body having a positioning slot, a receiving slot and a gas inlet; a cathode body having a first part positioned in the positioning slot; an anode frame and a positioning member both accommodated within the receiving slot; and a cover having a plasma channel and covering the main body. One or each of two sidewalls of the anode frame and the cathode body facing each other has a nanocarbon material layer. A second part of the cathode body passes through the anode frame, is positioned and fixed by the positioning slot and is separated from the anode frame by a gap. The anode frame and the cathode body receive radio frequency power to make a gas, which enters the receiving slot from the gas inlet and passes through the gap, become plasma outputted from the plasma channel.
    Type: Grant
    Filed: March 29, 2019
    Date of Patent: September 3, 2019
    Assignee: NATIONAL TSING HUA UNIVERSITY
    Inventors: Hung-Yin Tsai, Tzu-Yun Lin, Yi-You Chen, Shang Ru Wu, Tung Che Lee
  • Patent number: 10399128
    Abstract: A system (10) for cleaning an object (12) includes a roll cleaner (14) having a rotatable elastomeric roll (20) with a cylindrical outer surface configured to contact the first surface of the object (12), a rotatable adhesive roll (22) with a cylindrical outer surface contacting a portion of the outer surface of the elastomeric roll (20), and a support (24). The object (12) passes between the elastomeric roll (20) and the support (24), which contacts a second, opposing object surface. An atmospheric plasma cleaner (16) includes a sealed chamber (32) and at least one electrode (34) disposed in the chamber (32) and receiving a high voltage to generate a plasma applied to the first object surface. At least a portion of an inlet of the plasma cleaner (16) is formed by the elastomeric roll (20) and the support (24), and the contacting of the first and second surfaces of the object (12) by the elastomeric roll (20) and the support (24) seals the inlet of the plasma cleaner (16).
    Type: Grant
    Filed: June 5, 2014
    Date of Patent: September 3, 2019
    Assignee: Illinois Tool Works Inc.
    Inventors: Rory A. Wolf, Stephen Frank Mitchell, Sheila Hamilton
  • Patent number: 10375812
    Abstract: A surface wave plasma (SWP) source couples microwave (MW) energy into a processing chamber through, for example, a radial line slot antenna, to result in a low mean electron energy (Te). An ICP source, is provided between the SWP source and the substrate and is energized at a low power, less than 100 watts for 300 mm wafers, for example, at about 25 watts. The ICP source couples energy through a peripheral electric dipole coil to reduce capacitive coupling.
    Type: Grant
    Filed: March 28, 2016
    Date of Patent: August 6, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Radha Sundararajan
  • Patent number: 10363370
    Abstract: A method and apparatus for plasma modifying a workpiece such as a syringe barrel, cartridge barrel, vial, or blood tube is described. Plasma is provided within the lumen of the workpiece. The plasma is provided under conditions effective for plasma modification of a surface of the workpiece. A magnetic field is provided in at least a portion of the lumen. The magnetic field has an orientation and field strength effective to improve the uniformity of plasma modification of the interior surface of the generally cylindrical wall. A vessel made according to the process or using the apparatus described above. A pharmaceutical package comprising the syringe barrel or vial containing a pharmaceutical preparation, secured with a closure.
    Type: Grant
    Filed: September 1, 2017
    Date of Patent: July 30, 2019
    Assignee: SiO2 Medical Products, Inc.
    Inventors: Christopher Weikart, Becky L. Clark, Adam Stevenson, Robert S. Abrams, John Belfance
  • Patent number: 10364497
    Abstract: Embodiments provided herein describe systems and method for processing substrates. A substrate is provided. A showerhead is positioned above the substrate. The showerhead includes a plurality of injection ports, at least one isolation channel, and at least one exhaust port on a bottom surface thereof. The at least one isolation channel separates the plurality of injection ports into two or more sections. The at least one exhaust port is positioned within the at least one isolation channel. The plurality of injection ports are not in fluid communication with the at least one exhaust port within the showerhead. At least one processing fluid is caused to be delivered from the plurality of injection ports onto the substrate. At least some of the at least one processing fluid is caused to be removed from the substrate through the at least one exhaust port.
    Type: Grant
    Filed: February 8, 2017
    Date of Patent: July 30, 2019
    Assignee: INTERMOLECULAR, INC.
    Inventors: Bernardo Donoso, Karl Littau, Lawrence D. Bartholomew
  • Patent number: 10364494
    Abstract: The present disclosure relates to a substrate processing apparatus, and more particularly, a substrate processing apparatus that is capable of improving process uniformity on an entire surface of a substrate. The substrate processing apparatus includes a substrate boat in which a substrate is loaded, a reaction tube in which a processing process for the substrate loaded in the substrate boat is performed, a gas supply unit configured to supply a process gas into the reaction tube through an injection nozzle disposed on one side of the reaction tube, a heating unit including a plurality of vertical heating parts, which are disposed along a circumference of the reaction tube outside the reaction tube and configured to divide the circumference to the reaction tube into a plurality of portions so as to independently heat each of the divided portions of the reaction tube, and a control unit configured to control the heating unit.
    Type: Grant
    Filed: October 10, 2016
    Date of Patent: July 30, 2019
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventors: Cha Young Yoo, Sung Tae Je, Kyu Jin Choi, Ja Dae Ku, Jun Kim, Bong Ju Jung, Kyung Seok Park, Yong Ki Kim, Jae Woo Kim
  • Patent number: 10354901
    Abstract: A conveying device, a conveying method, and an evaporation apparatus are provided. The conveying device comprises a carrying mechanism for carrying a substrate; and a fastening mechanism for fastening the substrate on the carrying mechanism in a mechanical manner. In the conveying device, the substrate is fastened on the carrying mechanism in a mechanical manner by the fastening mechanism. As compared with electrostatic fastening and adhesive fastening, this reduces damage to the substrate, increases the reliability for fastening the substrate, and makes it easy to receive and detach the substrate.
    Type: Grant
    Filed: September 14, 2016
    Date of Patent: July 16, 2019
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Qinghua Zou, Shupeng Guo, Changqi Hu
  • Patent number: 10327284
    Abstract: Embodiments of the present disclosure generally relate to optically transparent windows and processing chambers including the same. The optically transparent window includes light-diffusing structures formed thereon. The light diffusing structures may include a scalloped or dimpled surface having protruding or indented features, or a frosted surface. The light-diffusing structures facilitate more uniform heating of substrates by reducing thermal hot spots caused by uneven irradiation by lamps.
    Type: Grant
    Filed: April 16, 2014
    Date of Patent: June 18, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventor: Joseph M. Ranish
  • Patent number: 10302362
    Abstract: Estimating the time of reaching steady-state uniform load temperature of a load in a heat treatment process in the absence of a load temperature sensor. In an embodiment, a system utilizes signals and parameters available on a temperature controller to estimate the period of time required for a load to reach a steady-state temperature in a heat treatment process. When the estimate of the temperature of the load reaches the steady-state condition, the system advances the heat treatment process from a load heating phase to another phase.
    Type: Grant
    Filed: December 15, 2016
    Date of Patent: May 28, 2019
    Assignee: Eurotherm Limited
    Inventor: Francesco Mecocci
  • Patent number: 10287680
    Abstract: There is provided a technique that includes: forming a film on a substrate in a process chamber by performing: supplying a precursor gas to the substrate through a first nozzle; and supplying at least one selected from a group consisting of an oxygen-containing gas and a nitrogen-and-hydrogen-containing gas to the substrate through a second nozzle that is configured such that gas stagnation on a surface of the second nozzle caused by the second nozzle is less than gas stagnation on a surface of the first nozzle caused by the first nozzle, or such that contact of the second nozzle with gas staying on the surface of the second nozzle is less than contact of the first nozzle with gas staying on the surface of the first nozzle.
    Type: Grant
    Filed: March 19, 2018
    Date of Patent: May 14, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Shintaro Kogura, Ryota Sasajima, Kosuke Takagi
  • Patent number: 10290806
    Abstract: The present disclosure provides an evaporation carrier plate and an evaporation device. The evaporation carrier plate includes a carrier plate body. The carrier plate body includes a glass-carrying surface and a plurality of pin holes for pins to extend through. The evaporation carrier plate further includes a cover plate arranged on a surface of the carrier plate body away from the glass-carrying surface and configured to move relative to the carrier plate body to cover or open the pin holes.
    Type: Grant
    Filed: September 11, 2015
    Date of Patent: May 14, 2019
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Qinghui Zeng, Shupeng Guo
  • Patent number: 10290472
    Abstract: In a vacuum processing apparatus including: a vacuum container including a processing chamber therein; a plasma formation chamber; plate members being arranged between the processing chamber and the plasma formation chamber; and a lamp and a window member being arranged around the plate members, in order that a wafer and the plate members are heated by electromagnetic waves from the lamp, a bottom surface and a side surface of the window member is formed of a member transmitting the electromagnetic waves therethrough.
    Type: Grant
    Filed: March 17, 2016
    Date of Patent: May 14, 2019
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Kobayashi, Nobuya Miyoshi, Kazunori Shinoda, Kenji Maeda, Yutaka Kouzuma, Satoshi Sakai, Masaru Izawa
  • Patent number: 10267728
    Abstract: A measurement system to measure a concentration of neutral gas species above a substrate includes a substrate support located in a chamber to support a substrate. A plasma source generates plasma in the chamber above the substrate. The plasma generates metastable species having higher ionization energy than a neutral gas species. The metastable species excite the neutral gas species located above the substrate. An optical emission spectrometer (OES) sensor measures spectra from a location above the substrate while the plasma is generated by the plasma source. A controller is configured to determine a concentration of the neutral gas species in a region above the substrate based on the measured spectra and to selectively process the substrate based on the concentration.
    Type: Grant
    Filed: September 12, 2017
    Date of Patent: April 23, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Dengliang Yang, Ilia Kalinovski, Haoquan Fang, David Cheung
  • Patent number: 10256121
    Abstract: Embodiments include a method for controlled cooling of a heated stage. The method includes setting a stage coupling to a maximum value and heating the stage to a process temperature. The method includes providing a wafer on the heated stage in a process chamber. The method includes performing a process on the wafer and reducing the heating stage coupling to a predetermined minimum value and reducing the heated stage temperature. The method includes removing the wafer from the heated stage and the process chamber. The heated stage is covered with a plurality of pixels, each pixel of the plurality of pixels include a level of emissivity and are equipped with an emissivity control device configured to independently adjust the level of emissivity of the pixel. The heated stage coupling is configured to achieve a predetermined radiative coupling and control the wafer cooling rate and target temperature.
    Type: Grant
    Filed: July 6, 2015
    Date of Patent: April 9, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Mirko Vukovic, Ronald Nasman
  • Patent number: 10256122
    Abstract: A substrate heating device includes: heating modules each having a processing vessel within which a heating plate is disposed, an gas inlet port for introducing a purge gas into a processing atmosphere, and an exhaust port for exhausting the processing atmosphere; individual exhaust paths each connected to the exhaust port of the heating modules; a common exhaust path connected to downstream ends of the individual exhaust paths of the heating modules; a branch path branched from the individual exhaust paths and opened to the outside of the processing vessel; and an exhaust flow rate adjusting unit configured to adjust a flow rate ratio of an exhaust flow rate of a gas exhausted from the exhaust port into the common exhaust path and an introduction flow rate of a gas introduced from the outside of the processing vessel into the common exhaust path through the branch path.
    Type: Grant
    Filed: May 4, 2018
    Date of Patent: April 9, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masato Mizuta, Koji Takayanagi
  • Patent number: 10221479
    Abstract: Embodiments of the device relate to a modular injector (100) for injecting a gas into a processing chamber (42), comprising at least two adjacent injectors (1), each injector comprising an inlet for receiving a gas wave or a gas flow, a flow shaping section (2) having left and right sidewalls that diverge according to a divergence angle relative to a propagation axis of the gas, for expanding the gas in a direction perpendicular to the propagation axis, and an outlet for expelling the gas. The modular injector forms an equivalent large injector having an equivalent large outlet which includes the outlets of the adjacent injectors and expands the gas over the equivalent large outlet.
    Type: Grant
    Filed: July 7, 2016
    Date of Patent: March 5, 2019
    Assignee: Koolerheadz
    Inventor: Jacques Constant Stefan Kools
  • Patent number: 10213076
    Abstract: A particle collecting apparatus is provided with a case, an ultrasonic generator, a gas supplying unit, a suction unit and a seal member. The case has one end, and defines a space at the one end. The ultrasonic generator is provided in the case, and generates ultrasonic waves towards the opening defined by the one end of the case. The gas supplying unit supplies gas to the space. The suction unit exhausts the space. The seal member has elasticity, and is provided at the one end so as to surround the opening.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: February 26, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Nobuhiro Takahashi, Keiko Hada
  • Patent number: 10208380
    Abstract: Embodiments described herein relate to apparatus and coating methods to reduce chamber arcing, for example, in HDP-CVD, PECVD, PE-ALD and Etch chambers. The apparatus include a ring shaped gas distributor used for in-situ deposition of coating materials, and a process chamber including the same. The ring shaped gas distributor includes a ring shaped body having at least one gas entrance port disposed on a first side thereof and a plurality of gas distribution ports disposed on a first surface of the ring shaped body. The plurality of gas distribution ports are arranged in a plurality of evenly distributed rows. The plurality of gas distribution ports in a first row of the plurality of evenly distributed rows is adapted to direct gas at an exit angle different from an exit angle of the plurality of gas distribution ports in a second row of the plurality of evenly distributed rows.
    Type: Grant
    Filed: October 26, 2016
    Date of Patent: February 19, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Lin Zhang, Xuesong Lu, Andrew V. Le, Jang Seok Oh
  • Patent number: 10184192
    Abstract: An apparatus for growing diamonds, the apparatus comprising: one or more chambers, each chamber is in fluid connection with one or more other chambers, each chamber comprising one or more substrate stage assembly within the chamber to support a substrate stage having a plurality of diamond seeds disposed thereon.
    Type: Grant
    Filed: April 12, 2013
    Date of Patent: January 22, 2019
    Assignee: SUNSET PEAK INTERNATIONAL LIMITED
    Inventor: Devi Shanker Misra
  • Patent number: 10186429
    Abstract: A heat treatment system includes a heating unit that heats an inside of a processing chamber that accommodates a plurality of workpieces; a pressure adjusting unit that adjusts a pressure in the processing chamber; a heat treatment condition storing unit that stores a heat treatment condition according to a heat treatment content; a heat treatment change model storing unit that stores a heat treatment change model that represents a relationship between a change of the temperature and the pressure in the processing chamber and a change of a heat treatment result; a heat treatment performing unit that performs a heat treatment according to the heat treatment condition stored in the heat treatment condition storing unit; and a calculating unit that calculates a temperature and a pressure from which a target heat treatment result is derived.
    Type: Grant
    Filed: November 18, 2016
    Date of Patent: January 22, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Yuichi Takenaga, Hiroyuki Matsuura
  • Patent number: 10181603
    Abstract: A manufacturing method of a separator for a fuel cell is a method for forming a carbon coating film on a titanium substrate, which has a titanium oxide layer on a surface of the titanium substrate, by CVD. The method includes a step of making a state in which the titanium substrate, which has the titanium oxide layer on the surface of the titanium substrate, is placed into a vacuum atmosphere, an irradiation step of irradiating a surface of the titanium oxide layer of the titanium substrate with light having a wavelength of equal to or shorter than 390 nm before the carbon coating film is formed or while the carbon coating film is being formed, and a step of forming the carbon coating film on the surface of the titanium oxide layer that is irradiated with light in the irradiation step.
    Type: Grant
    Filed: September 16, 2015
    Date of Patent: January 15, 2019
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Masafumi Koizumi
  • Patent number: 10153133
    Abstract: A plasma reactor for processing a workpiece has a microwave source with a digitally synthesized rotation frequency using direct digital up-conversion and a user interface for controlling the rotation frequency.
    Type: Grant
    Filed: December 18, 2015
    Date of Patent: December 11, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Satoru Kobayashi, Hideo Sugai, Soonam Park, Kartik Ramaswamy, Dmitry Lubomirsky
  • Patent number: 10151033
    Abstract: A plasma chemical vapor deposition device includes a chamber, a first conductor having an elongated shape, a second conductor having a tubular shape, a high-frequency output device, and a direct-current power supply. A first connecting portion of the first conductor with the high-frequency output device and a second connecting portion of the first conductor with the direct-current power supply are both placed outside the chamber. A distance from one end of the first conductor to the first connecting portion is shorter than a distance from the one end of the first conductor to the second connecting portion. An impedance change portion is provided between the first connecting portion and the second connecting portion in the first conductor, the impedance change portion having an impedance different from an impedance between the one end of the first conductor and the first connecting portion.
    Type: Grant
    Filed: June 21, 2016
    Date of Patent: December 11, 2018
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventors: Yoji Sato, Takayasu Sato, Hiromichi Nakata, Kazutaka Tachibana, Osamu Ariyada, Yuji Takano, Ryo Tsurumoto
  • Patent number: 10138540
    Abstract: An infiltration device comprises a heating room, a rotary tray, a rotary bracket, a material box, an elevating mechanism and a transmission device, wherein the heating room has an annular groove, and the rotary tray is arranged below an opening end at a lower end of the heating room; the rotary bracket is installed on the rotary tray; the material box is arranged on the rotary bracket; the rotary tray and the material box can move upward and downward under the action of the elevating mechanism; the rotary bracket can spin in the annular groove and revolve around a central axis of the rotary tray under the action of the transmission device. The infiltration method provided by the invention comprises the steps of charging, vacuum-pumping, high temperature infiltrating, cooling, discharging, etc.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: November 27, 2018
    Assignee: Tianhe (Baotou) Advanced Tech Magnet Co., Ltd.
    Inventors: Juchang Miao, Yong Zhai, Jianxin Ma, Enfeng Gao, Yanling Song, Shulin Diao, Yi Dong, Haibo Yi, Shujie Wu, Yi Yuan, Ya Chen, Wenjie Yuan
  • Patent number: 10113234
    Abstract: Embodiments described herein provide a method for sealing a porous low-k dielectric film. The method includes forming a sealing layer on the porous low-k dielectric film using a cyclic process. The cyclic process includes repeating a sequence of depositing a sealing layer on the porous low-k dielectric film and treating the sealing layer until the sealing layer achieves a predetermined thickness. The treating of each intermediate sealing layer generates more reactive sites on the surface of each intermediate sealing layer, which improves the quality of the resulting sealing layer.
    Type: Grant
    Filed: July 16, 2015
    Date of Patent: October 30, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Bo Xie, Alexandros T. Demos, Vu Ngoc Tran Nguyen, Kelvin Chan, He Ren, Kang Sub Yim, Mehul B. Naik
  • Patent number: 10094023
    Abstract: Methods and apparatus for depositing a cobalt layer in features formed on a substrate are provided herein. In some embodiments, a method of depositing a cobalt layer atop a substrate includes: (a) providing a substrate to a substrate support that is rotatable between two processing positions; (b) exposing the substrate to a cobalt containing precursor at a first processing position to deposit a cobalt layer atop the substrate; (c) rotating the substrate having the deposited cobalt layer to a second processing position; and (d) treating the substrate at the second processing position to remove contaminants from the cobalt layer.
    Type: Grant
    Filed: July 31, 2015
    Date of Patent: October 9, 2018
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Sang Ho Yu, Mei Chang
  • Patent number: 10090307
    Abstract: An electrical device including a substrate structure including a relaxed region of alternating layers of at least a first semiconductor material and a second semiconductor material. A first region of the substrate structure includes a first type conductivity semiconductor device having a first strain over a first portion of the relaxed region. A second region of the substrate structure includes a second type conductivity semiconductor device having a second strain over a second portion of the relaxed region. A third region of the substrate structure including a trench capacitor extending into relaxed region, wherein a width of the trench capacitor defined by the end to end distance of the node dielectric for the trench capacitor alternates between at least two width dimensions as a function of depth measured from the upper surface of the substrate structure.
    Type: Grant
    Filed: October 20, 2016
    Date of Patent: October 2, 2018
    Assignee: International Business Machines Corporation
    Inventors: Karthik Balakrishnan, Kangguo Cheng, Pouya Hashemi, Alexander Reznicek
  • Patent number: 10073444
    Abstract: Since single and dual-arm tools behave differently, it is difficult to coordinate their activities in a hybrid multi-cluster tool that is composed of both single- and dual-arm tools. Aiming at finding an optimal one-wafer cyclic schedule for a treelike hybrid multi-cluster tool whose bottleneck tool is process-bound, the present work extends a resource-oriented Petri net to model such system. By the developed Petri net model, to find a one-wafer cyclic schedule is to determine robot waiting times. By doing so, it is shown that, for any treelike hybrid multi-cluster tool whose bottleneck tool is process-bound, there is always a one-wafer cyclic schedule. Then, computationally efficient algorithms are developed to obtain the minimal cycle time and the optimal one-wafer cyclic schedule. Examples are given to illustrate the developed method.
    Type: Grant
    Filed: October 21, 2015
    Date of Patent: September 11, 2018
    Assignee: Macau University of Science and Technology
    Inventors: Naiqi Wu, Fajun Yang, Yan Qiao, Mengchu Zhou
  • Patent number: 10056287
    Abstract: A device for processing wafer-shaped articles comprises a closed process chamber. The closed process chamber comprises a housing providing a gas-tight enclosure, a rotary chuck located within the closed process chamber and adapted to hold a wafer shaped article thereon, and an interior cover disposed within said closed process chamber. The interior cover is movable between a first position in which the rotary chuck communicates with an outer wall of the closed process chamber, and a second position in which the interior cover seals against an inner surface of the closed process chamber adjacent the rotary chuck to define a gas-tight inner process chamber.
    Type: Grant
    Filed: September 30, 2015
    Date of Patent: August 21, 2018
    Assignee: LAM RESEARCH AG
    Inventors: Ulrich Tschinderle, Andreas Gleissner, Thomas Wirnsberger, Rainer Obweger
  • Patent number: 10032610
    Abstract: A plasma generating device includes a plasma source having a plasma source hollow body (1) and an electron emission unit (5) for emitting free electrons into the plasma source hollow body. The plasma source hollow body (1) has a first gas inlet (7a) and a plasma source opening (10) which forms an opening to a vacuum chamber. An anode has an anode hollow body (2). The anode hollow body (2) has a second gas inlet (7b) and an anode opening (11) which forms an opening to the vacuum chamber, and a voltage source (8) the negative pole of which is connected to the electron emission unit (5) and the positive pole of which is connected to the anode hollow body (2). The positive pole of the voltage source (8) is electrically connected by a first shunt (6a) to the plasma source hollow body.
    Type: Grant
    Filed: December 9, 2013
    Date of Patent: July 24, 2018
    Assignee: OBERLIKON SURFACE SOLUTIONS AG, PFÄFFIKON
    Inventors: Siegfried Krassnitzer, Juerg Hagmann