Having Prerecorded Program Medium Patents (Class 118/697)
  • Publication number: 20110005459
    Abstract: A resist coating apparatus supplies a resist solution to substantially the center of a target substrate to be processed while rotating the target substrate at a first rotational speed, then decelerates the rotation of the substrate to a second rotational speed lower than the first rotational speed, or until rotational halt, makes the deceleration smaller in the deceleration step as the rotational speed becomes closer to the second rotational speed or the rotational halt, and accelerates the rotation of the substrate to a third rotational speed higher than the second rotational speed to spin off a residue of the resist solution.
    Type: Application
    Filed: September 8, 2010
    Publication date: January 13, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kousuke YOSHIHARA, Tomohiro Iseki
  • Publication number: 20100323531
    Abstract: A method for forming an insulating film includes a step of preparing a substrate, which is to be processed and has silicon exposed on the surface, a step of performing oxidizing to the silicon on the surface, and forming a silicon oxide thin film on the surface of the silicon, a step of performing first nitriding to the silicon oxide film and the base silicon thereof, and forming a silicon oxynitride film, and a step of performing first heat treatment to the silicon oxynitride film in N2O atmosphere. In such method, a step of performing second nitriding to the silicon oxynitride film may be further included after the first heat treatment, and furthermore, a step of performing second heat treatment to the silicon oxynitride film after the second nitriding may be included.
    Type: Application
    Filed: December 20, 2007
    Publication date: December 23, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Minoru Honda, Yoshihiro Sato, Toshio Nakanishi
  • Publication number: 20100319619
    Abstract: In an oxidation method for a semiconductor process, target substrates are placed at intervals in a vertical direction within a process field of a process container. An oxidizing gas and a deoxidizing gas are supplied to the process field from one side of the process field while gas is exhausted from the other side. One or both of the oxidizing gas and the deoxidizing gas are activated. The oxidizing gas and the deoxidizing gas are caused to react with each other, thereby generating oxygen radicals and hydroxyl group radicals within the process field. An oxidation process is performed on the surfaces of the target substrate by use of the oxygen radicals and the hydroxyl group radicals.
    Type: Application
    Filed: August 9, 2010
    Publication date: December 23, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Takehiko FUJITA, Jun Ogawa, Shigeru Nakajima, Kazuhide Hasebe
  • Publication number: 20100319618
    Abstract: A device for pretreating an exterior surface of an aircraft coated with an aircraft-specific base material so as to prepare the surface for a final painting with aircraft paint includes a pretreating tool. The pretreating tool has a laser head configured to activate the aircraft-specific base material using a laser. The device also includes a support construction including a robot device and a control unit configured to control and move the laser head over the surface using the robot device.
    Type: Application
    Filed: June 21, 2010
    Publication date: December 23, 2010
    Applicant: AIRBUS OPERATIONS GMBH
    Inventors: Dirk BAUSEN, Birgit KUHLENSCHMIDT, Karl HAUSMANN, Rolf BENSE, Daniel LAHIDJANIAN
  • Publication number: 20100323529
    Abstract: A method for forming an insulating film includes a step of preparing a substrate, which is to be processed and has silicon exposed on the surface; a step of performing first nitriding to the silicon exposed on the surface of the substrate, and forming a silicon nitride film having a thickness of 0.2 nm but not more than 1 nm on the surface of the substrate; and a step of performing first heat treatment to the silicon nitride film in N2O atmosphere and forming a silicon nitride film. This method may further include a step of performing second nitriding to the silicon oxynitride film, and furthermore, may include a step of performing second heat treatment to the silicon oxynitride film after the second nitriding.
    Type: Application
    Filed: December 20, 2007
    Publication date: December 23, 2010
    Applicant: Tokyo Electron Limited
    Inventors: Minoru Honda, Yoshihiro Sato, Toshio Nakanishi
  • Publication number: 20100316799
    Abstract: Disclosed is a film forming method including the steps of: producing a monovalent carboxylic acid metal salt gas by reacting a bivalent carboxylic acid metal salt with a carboxylic acid; supplying the monovalent carboxylic acid metal salt gas on a substrate to accumulate a monovalent carboxylic acid metal salt film; and decomposing the monovalent carboxylic acid metal salt film by supplying energy to the substrate formed with the monovalent carboxylic acid metal salt film so as to form a metallic film.
    Type: Application
    Filed: December 25, 2008
    Publication date: December 16, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Isao Gunji, Hidenori Miyoshi, Hitoshi Itoh
  • Patent number: 7845308
    Abstract: Systems having an in-line microwave heater to heat fluids for processing a substrate are provided. An embodiment of a system includes a microelectronic processing chamber, a reservoir for storing a fluid used to process wafers within the chamber, a supply line for transporting the fluid to the chamber, and a microwave heater arranged along the supply line. The system includes processor executable program instructions for operating the microwave heater at parameters configured to heat fluid within the supply line to a temperature greater than a fluid temperature within the reservoir, such as approximately 20° C. greater than the reservoir fluid temperature. It is noted that the inclusion of an in-line microwave heater is not limited to microelectronic fabrication systems, but may be used for any system in which heated fluids are used for processing a substrate, such as but not limited to electroplating or electroless plating systems.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: December 7, 2010
    Assignee: Lam Research Corporation
    Inventors: Jason Corneille, Nancy E. Gilbert, Robert D. Tas, Steven Flanders, Timothy J. Franklin, Jason R. Wright, Stephen C. Jones, Joe Laia
  • Publication number: 20100282413
    Abstract: A method for treating/processing substrates/workpieces in a multi-chamber treatment/processing apparatus, comprising: providing a multi-chamber treatment/processing apparatus comprising at least a pair of operatively interconnected upstream and downstream treatment/processing chambers; providing each of the chambers with at least one substrate/workpiece; treating/processing the at least one substrate/workpiece positioned in each of the chambers; evacuating process gas from each of the chambers during or upon completion of the treating/processing of the at least one substrate/workpiece positioned therein; removing the at least one substrate/workpiece from the downstream treatment/processing chamber and initiating transport of the at least one substrate/workpiece from the upstream treatment/processing chamber to the downstream treatment/processing chamber, comprising initiating a flow of the process gas to the evacuated downstream treatment/processing chamber prior to completion of transport of the substrate
    Type: Application
    Filed: July 23, 2010
    Publication date: November 11, 2010
    Applicant: SEAGATE TECHNOLOGY LLC
    Inventors: Thomas Patrick Nolan, Jeffrey Shane Reiter
  • Publication number: 20100277850
    Abstract: A method for processing a semiconductor wafer comprises measuring data indicating an amount of warpage of the wafer. At least two different voltages are determined, based on the amount of warpage. The voltages are to be applied to respective portions of the wafer by an electrostatic chuck that is to hold the wafer. The at least two different voltages are applied to hold the respective portions of the wafer while performing a fabrication process on the wafer.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 4, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Pin Chang, Tung Long Lai, Soon Kang Huang
  • Publication number: 20100272895
    Abstract: A film deposition apparatus comprises: a process container 2; a table 3 on which a substrate W can be placed, the table 3 being disposed in the process container 2; and a gas showerhead 4 disposed so as to be opposed to the table 3, the gas showerhead 4 including a gas supply surface 40a having a first gas supply hole 51b for supplying a first process gas, a second gas supply hole 52b for supplying a second process gas, and a third gas supply hole 53b for supplying a third process gas. The gas supply surface 40a is divided into unit zones 401 formed of regular triangles of the same size, and the first gas supply hole 51b, the second gas supply hole 52b, and the third gas supply hole 53b are disposed on respective three apexes of each regular triangle constituting the unit zone.
    Type: Application
    Filed: September 11, 2008
    Publication date: October 28, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Einosuke Tsuda
  • Publication number: 20100267231
    Abstract: An apparatus and method for the ultraviolet (UV) treatment of carbon-containing low-k dielectric enables process-induced damage repair. A semiconductor substrate processing system may be configured to include degas and plasma pre-clean modules, UV process modules, copper diffusion barrier deposition modules and copper seed deposition modules such that the substrate is held under vacuum and is not exposed to ambient air after low k damage repair and before copper barrier layer deposition. Inventive methods provide for treatment of a damaged low-k dielectric on a semiconductor substrate with UV radiation to repair processing induced damage and barrier layer deposition prior breaking vacuum.
    Type: Application
    Filed: March 17, 2010
    Publication date: October 21, 2010
    Inventors: Bart van Schravendijk, Victoria Shannon Benzing
  • Publication number: 20100267230
    Abstract: Provided are methods of void-free tungsten fill of high aspect ratio features. According to various embodiments, the methods involve a reduced temperature chemical vapor deposition (CVD) process to fill the features with tungsten. In certain embodiments, the process temperature is maintained at less than about 350° C. during the chemical vapor deposition to fill the feature. The reduced-temperature CVD tungsten fill provides improved tungsten fill in high aspect ratio features, provides improved barriers to fluorine migration into underlying layers, while achieving similar thin film resistivity as standard CVD fill. Also provided are methods of depositing thin tungsten films having low-resistivity. According to various embodiments, the methods involve performing a reduced temperature low resistivity treatment on a deposited nucleation layer prior to depositing a tungsten bulk layer and/or depositing a bulk layer via a reduced temperature CVD process followed by a high temperature CVD process.
    Type: Application
    Filed: April 6, 2010
    Publication date: October 21, 2010
    Inventors: Anand Chandrashekar, Feng Chen, Raashina Humayun, Michal Danek
  • Patent number: 7815558
    Abstract: Disclosed is a method for replacing a process instrument in a processing apparatus, in which a target object is loaded by a transfer mechanism into a processing unit and is subjected to a process by use of the process instrument. The method includes confirming that a process on the target object is finished in a processing unit designated as a process instrument replacement target, and providing information that a process instrument replacing operation is permitted to start. The method further includes, when a shutter of the processing unit designated as the process instrument replacement target is closed to perform a process instrument replacing operation and an operation prohibition state is thereby applied to the transfer mechanism, canceling the operation prohibition state to allow the transfer mechanism to perform a load/unload operation relative to a processing unit not designated as a process instrument replacement target.
    Type: Grant
    Filed: January 23, 2007
    Date of Patent: October 19, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Naoyuki Tajiri, Akifumi Suzuki, Daisuke Honma
  • Publication number: 20100259162
    Abstract: A material having a low work function is quickly inserted near an interface between an organic layer and a cathode.
    Type: Application
    Filed: November 28, 2008
    Publication date: October 14, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kazuki Moyama
  • Publication number: 20100255182
    Abstract: Methods, apparatus and systems for performing a marking operation to mark a presence or absence of at least one underground facility using a marking device. Marking material is dispensed onto a target surface via actuation of an actuation system of the marking device. A location tracking system detects a location of the marking device. One or more input devices of the marking device detect(s) one or more operating conditions of the marking device, and marking information is logged into local memory of the marking device. In one aspect, at least some operating information relating to the one or more detected conditions of the marking device are included in the marking information logged into memory.
    Type: Application
    Filed: June 9, 2010
    Publication date: October 7, 2010
    Applicant: CertusView Technologies, LLC
    Inventors: Steven Nielsen, Curtis Chambers, Jeffrey Farr
  • Publication number: 20100243999
    Abstract: An organic element is protected by a protection film which has high sealing performance while relaxing a stress and does not change the characteristics of the organic element. In a substrate processing system Sys, a substrate processing apparatus 10, which includes a deposition apparatus PM1, a first microwave plasma processing apparatus PM3, and a second microwave plasma processing apparatus PM4, is arranged in a cluster structure, and an organic electronic device is manufactured by keeping a space where a substrate G moves from carry-in to carry-out in a desired depressurized state. An organic EL element is formed by the deposition apparatus PM1, butyne gas is plasmatized by microwave power by the first microwave plasma processing apparatus PM3, and an aCHx film 54 is formed adjacent to the organic EL element to cover the organic EL element.
    Type: Application
    Filed: August 26, 2008
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiraku Ishikawa
  • Publication number: 20100245086
    Abstract: Methods, apparatus, and systems for facilitating a marking operation to indicate a presence or an absence of at least one underground facility. Marking information, including one or both of environmental information representative of at least one environmental condition of an environment in which the marking operation is located, and operating information representative of at least one operating condition of a marking device used to perform the marking operation, is received and compared to a target value or a range of values to determine if an out-of-tolerance condition exists.
    Type: Application
    Filed: June 9, 2010
    Publication date: September 30, 2010
    Applicant: CertusView Technologies, LLC
    Inventors: Steven Nielsen, Curtis Chambers, Jeffrey Farr
  • Patent number: 7802538
    Abstract: A method of forming a graded dielectric layer on an underlying layer including flowing a mixture of a silicon-carbon containing gas, an oxygen containing gas and a carrier gas through a showerhead comprising a blocking plate and a faceplate to form an oxide rich portion of the graded dielectric layer, where the silicon-carbon containing gas has an initial flow rate, flowing the silicon-carbon containing gas at a first intermediate flow rate for about 0.5 seconds or longer, where the first intermediate flow rate is higher than the initial flow rate, and flowing the silicon-carbon containing gas at a fastest flow rate higher than the first intermediate flow rate to form a carbon rich portion of the graded dielectric layer.
    Type: Grant
    Filed: December 8, 2006
    Date of Patent: September 28, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Deenesh Padhi, Sohyun Park, Ganesh Balasubramanian, Juan Carlos Rocha-Alvarez, Li-Qun Xia, Derek R. Witty, Hichem M'Saad
  • Publication number: 20100209624
    Abstract: The present invention is a film-forming apparatus including: a longitudinal tubular processing container in which a vacuum can be created; an object-to-be-processed holding unit that holds a plurality of objects to be processed in a tier-like manner and that can be inserted into and taken out from the processing container; a heating unit provided around the processing container; a silane-based-gas supplying unit that supplies a silane-based gas into the processing container, the silane-based gas including no halogen element; a nitriding-gas supplying unit that supplies a nitriding gas into the processing container; an activating unit that activates the nitriding gas by means of plasma; and a controlling unit that controls the silane-based-gas supplying unit, the nitriding-gas supplying unit and the activating unit, in such a manner that the silane-based gas and the nitriding gas are supplied into the processing container at the same time while the nitriding gas is activated, in order to form a predetermined t
    Type: Application
    Filed: February 12, 2010
    Publication date: August 19, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Hiroyuki MATSUURA
  • Publication number: 20100207515
    Abstract: A material having a low work function is quickly inserted near an interface between an organic layer and a cathode. A sputtering apparatus (Sp) includes a target material formed of silver (Ag), a dispenser formed outside a processing container and evaporating cesium (Cs) having a lower work function than silver (Ag) by heating the cesium (Cs), a first gas supply pipe communicating with the dispenser to transfer steam of the evaporated cesium (Cs) to the processing container by using argon gas as a carrier gas, and a high frequency power supply source supplying high frequency power to the processing container. A controller generates plasma by exciting the argon gas by using energy of the high frequency power, and while forming a metal electrode by using an silver (Ag) atom, wherein the Ag atom is generated from a the target material by using the generated plasma, controls a ratio of the cesium (Cs) mixed with the metal electrode.
    Type: Application
    Filed: September 18, 2008
    Publication date: August 19, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kazuki Moyama
  • Publication number: 20100167507
    Abstract: A plasma doping apparatus implants an impurity element into a surface of a processing target object W by using plasma. The apparatus includes a high frequency power supply 72 configured to supply a high frequency bias power to a mounting table 34 installed within a processing chamber 32; a gas feed unit 96 configured to supply a doping gas containing an impurity element into the processing chamber 32; and a plasma generation unit 78 configured to generate the plasma within the processing chamber 32. In accordance with this apparatus, a portion doped with the impurity element can be made very thin, and the impurity element can be rapidly doped in a high concentration.
    Type: Application
    Filed: May 13, 2008
    Publication date: July 1, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masahiro Horigome, Yoshihiro Ishida
  • Publication number: 20100162953
    Abstract: The coating instrument is provided with a coating head which has a plurality of head members, and in which a groove-like slot is formed, an adjustment unit accommodated in a recessed groove installed on a leading end face continuing to an outer face opposite to an inner face or to the inner face in the head member to adjust the width of the slot by pressing a side wall face of the recessed groove, and a controller for controlling a pressing force to the side wall face of the recessed groove by the adjustment unit. The adjustment unit is provided with a fluid pressure chamber into which a fluid is sealed, a pressing portion for pressing the side wall face of the recessed groove by the fluid pressure of the fluid pressure chamber, and an operating portion for allowing the fluid pressure of the fluid pressure chamber to change.
    Type: Application
    Filed: September 16, 2009
    Publication date: July 1, 2010
    Applicant: MITSUBISHI MATERIALS CORPORATION
    Inventors: Atsushi Hayashi, Tsutomu Fukuda, Katsuyuki Fujimura
  • Publication number: 20100156768
    Abstract: A display media is disclosed which includes (1) a first flexible sheet, (2) a second flexible sheet, (3) a display activation substance located between the first and second flexible sheets, (4) a relatively transparent conductive layer located directly adjacent to the first flexible sheet, (5) a display controller located on a first surface of the second flexible sheet, (6) a plurality of electrodes located on the first surface, each of the plurality of electrodes being connected to the display controller, and (7) an additional electrode located on the first surface, the additional electrode being connected to both the display controller and the conductive layer. In this embodiment, the first surface faces away from the display activation substance. In addition, a one of the first and second flexible sheets, located nearest to a side of the display media through which a viewer is intended to view the pattern, is clear.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Inventors: James Douglas Fletcher, II, Gary J. Marsh
  • Publication number: 20100159157
    Abstract: A system and method for the automated or “robotic” application of hardfacing to a surface of a drill bit.
    Type: Application
    Filed: December 22, 2008
    Publication date: June 24, 2010
    Inventors: John H. Stevens, James L. Overstreet, David K. Luce
  • Publication number: 20100159370
    Abstract: The invention relates to a method for forming microscopic structures. By scanning a focused particle beam over a substrate in the presence of a precursor fluid, a patterned seed layer is formed. By now growing this layer with Atomic Layer Deposition or Chemical Vapour Deposition, a high quality layer can be grown. An advantage of this method is that forming the seed layer takes relatively little time, as only a very thin layer needs to be deposited.
    Type: Application
    Filed: December 18, 2009
    Publication date: June 24, 2010
    Applicant: FEI COMPANY
    Inventors: Alan Frank de Jong, Johannes Jacobus Lambertus Mulders, Wilhelmus Mathijs Marie Kessels, Adriaan Jacobus Martinus Mackus
  • Publication number: 20100144159
    Abstract: In a substrate processing method, a substrate to be processed is mounted on a mounting table in a processing chamber of a substrate processing apparatus, and while heating the substrate by a heating unit through the mounting table to a processing temperature of 700° C. or higher, the substrate is processed. The substrate to be processed is loaded into the processing chamber, a first preliminary heating is performed until the substrate reaches a prescribed temperature while being mounted on the mounting table. Then, substrate supporting pins of the mounting table are elevated, and a second preliminary heating is performed in a state where the substrate is held on the substrate supporting pins. Then, the substrate supporting pins are moved down to mount the substrate on the mounting table and a process such as plasma oxidation is performed thereon.
    Type: Application
    Filed: July 28, 2006
    Publication date: June 10, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Koichi Takatsuki
  • Publication number: 20100132613
    Abstract: The present invention relates to a method of lowering dielectric constant of an insulating film including Si, O and CH formed by a chemical vapor deposition process. A process gas containing hydrogen atoms is supplied into a reaction vessel. A microwave is introduced into the reaction vessel to supply a uniform electromagnetic wave, thereby a plasma containing a hydrogen radical is generated in the reaction vessel. The structure of the insulating film is modified by the hydrogen radical contained in the plasma irradiated to the insulating film, lowering the dielectric constant of the film. The microwave is supplied into the reaction vessel through a radial-slot antenna.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 3, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masaru SASAKI, Satohiko HOSHINO, Shinji IDE, Yusaku KASHIWAGI
  • Publication number: 20100136797
    Abstract: A silicon oxide film is formed in a processing chamber of a plasma processing apparatus by performing oxidation process, by using plasma to a processing object having a patterned irregularity, wherein the plasma is generated while high-frequency power is supplied to a mount table under the conditions that the oxygen content in a process gas is not less than 0.5% and less than 10% and the process pressure is 1.3 to 665 Pa.
    Type: Application
    Filed: March 28, 2008
    Publication date: June 3, 2010
    Inventors: Yoshiro Kabe, Takashi Kobayashi, Toshihiko Shiozawa, Junichi Kitagawa
  • Publication number: 20100112209
    Abstract: In a coating step, a substrate is rotated at a high speed, and in that state a resist solution is discharged from a first nozzle to a central portion of the substrate to apply the resist solution over the substrate. Subsequently, in a flattening step, the rotation of the substrate is decelerated and the substrate is rotated at a low speed to flatten the resist solution on the substrate. In this event, the discharge of the resist solution by the first nozzle in the coating step is performed until a middle of the flattening step, and when the discharge of the resist solution is finished in the flattening step, the first nozzle is moved to move a discharge position of the resist solution from the central portion of the substrate. According to the present invention, the resist solution can be applied uniformly within the substrate.
    Type: Application
    Filed: February 28, 2008
    Publication date: May 6, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kousuke Yoshihara, Tomohiro Iseki, Koji Takayanagi
  • Publication number: 20100101493
    Abstract: Systems and methods for locating and eliminating and/or minimizing non-functional nozzles of dispense systems are described.
    Type: Application
    Filed: October 26, 2009
    Publication date: April 29, 2010
    Applicant: MOLECULAR IMPRINTS, INC.
    Inventors: Jared L. Hodge, Van Nguyen Truskett, Matthew S. Shafran, Bharath Thiruvengadachari
  • Publication number: 20100098859
    Abstract: Imprint lithography may comprise generating a fluid map, generating a fluid drop pattern, and applying a fluid to a substrate according to the fluid drop pattern. The fluid drop pattern may be generated using edge weighting through one or more modified Lloyd's method iterations to result in surface features being substantially filled with the fluid during imprint.
    Type: Application
    Filed: October 16, 2009
    Publication date: April 22, 2010
    Applicant: MOLECULAR IMPRINTS, INC.
    Inventor: Philip D. Schumaker
  • Publication number: 20100093185
    Abstract: The present invention provides a method for forming a silicon oxide film, with a substantially uniform film thickness and without being so influenced by dense sites and scattered sites in a pattern provided on an object to be processed, while keeping advantageous points of a plasma oxidation process performed under a lower-pressure and lower-oxygen-concentration condition. In this method, plasma of a processing gas is applied to a surface of the object having a concavo-convex pattern, in a processing chamber of a plasma processing apparatus, so as to oxidize silicon on the surface of the object, thereby forming the silicon oxide film. The plasma is generated under the condition that a ratio of oxygen in the processing gas is within a range of 0.1% to 10% and pressure is within a range of 0.133 Pa to 133.3 Pa.
    Type: Application
    Filed: September 28, 2007
    Publication date: April 15, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshiro Kabe, Takashi Kobayashi, Toshihiko Shiozawa, Junichi Kitagawa
  • Patent number: 7694649
    Abstract: A process system includes a plurality of processing modules each processing a substrate with a process liquid. There is disposed a dispensing mechanism that dispenses the process liquid to the vertically arranged modules. The dispensing mechanism is provided with a process liquid supply source, and pumps corresponding to the respective processing modules. Each pump temporarily stores therein the process liquid which has been pressure-fed through a riser piping from the process liquid supply source by a pressing apparatus, and delivers the process liquid from an outlet. There are disposed nozzles each having a discharge port and discharging the process liquid to the corresponding processing module. Delivery pipings connecting the outlets of the pumps with the discharge ports of the corresponding nozzles have identical length to each other.
    Type: Grant
    Filed: June 22, 2006
    Date of Patent: April 13, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Yoshio Kimura, Takahiro Okubo
  • Publication number: 20100086670
    Abstract: A substrate processing method includes performing a deposition process of depositing a thin film on the substrate while depressurizing the inside of the processing chamber and introducing the gas thereinto; and, while the deposition process is being performed, irradiating light, which is transmitted through a monitoring window installed at the processing chamber, toward the inside of the processing chamber through the monitoring window, and monitoring a reflection light intensity of reflection light by receiving the reflection light through the monitoring window. The substrate processing method further includes measuring a temporal variation in the reflection light intensity during the deposition process and calculating a termination time of the deposition process based on a measurement value of the temporal variation; and terminating the deposition process by setting the termination time as an end point of the deposition process.
    Type: Application
    Filed: October 7, 2009
    Publication date: April 8, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Masato Kushibiki, Eiichi Nishimura, Akitaka Shimizu
  • Publication number: 20100075508
    Abstract: A dielectric insulating film including HfO or the like is formed by: cleaning a surface of a semiconductor substrate by exposing the substrate surface to a fluorine radical; performing hydrogen termination processing with a fluorine radical or a hydride (SiH4 or the like); sputtering HE or the like; and then performing oxidation/nitridation. These steps are carried out without exposing the substrate to atmosphere, thereby making it possible to obtain a C-V curve with less hysteresis and realize a MOS-FET having favorable device characteristics.
    Type: Application
    Filed: November 2, 2009
    Publication date: March 25, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Hiroki Date
  • Publication number: 20100068893
    Abstract: A film deposition apparatus includes a reaction chamber evacuatable to a reduced pressure; a substrate holding portion rotatably provided in the reaction chamber and configured to hold a substrate; a first reaction gas supplying portion configured to flow a first reaction gas from an outer edge portion toward a center portion of the substrate holding portion; a second reaction gas supplying portion configured to flow a second reaction gas from an outer edge portion toward a center portion of the substrate holding portion; a separation gas supplying portion configured to flow a separation gas from an outer edge portion toward a center portion of the substrate holding portion, the separation gas supplying portion being arranged between the first and the second gas supplying portions; and an evacuation portion located in the center portion of the substrate holding portion in order to evacuate the first, the second, and the separation gases.
    Type: Application
    Filed: September 15, 2009
    Publication date: March 18, 2010
    Inventors: HITOSHI KATO, Kazuteru Obara
  • Publication number: 20100050938
    Abstract: A plasma processing apparatus includes a sheet-like electrode for receiving high frequency signals from a plasma, a signal line connected to the electrode, a signal outputter which outputs high frequency signals from the electrode to the exterior, and a controller including of a physical quantity detecting unit, a measurement data storage unit, a measurement processing unit, and a control unit for controlling the apparatus parameters in response to signals from the measurement processing unit and performing control so as to stabilize the plasma condition. The signal line of the sheet-like electrode is formed between at least two layers of dielectric protection film formed on the surface of inner wall/inner cylinder 5 of a vacuum processing chamber in contact with plasma. The sheet-like electrode outputs an electric field/magnetic field.
    Type: Application
    Filed: September 30, 2008
    Publication date: March 4, 2010
    Inventors: Tsutomu Tetsuka, Muneo Furuse
  • Publication number: 20100055904
    Abstract: Methods of producing low resistivity tungsten bulk layers having lower roughness and higher reflectivity are provided. The smooth and highly reflective tungsten layers are easier to photopattern than conventional low resistivity tungsten films. The methods involve CVD deposition of tungsten in the presence of alternating nitrogen gas pulses, such that alternating portions of the film are deposited by CVD in the absence of nitrogen and in the presence of nitrogen. According to various embodiments, between 20-90% of the total film thickness is deposited by CVD in the presence of nitrogen.
    Type: Application
    Filed: August 29, 2008
    Publication date: March 4, 2010
    Applicant: Novellus Systems Inc.
    Inventors: Feng CHEN, Raashina Humayun, Abhishek Manohar
  • Publication number: 20100055881
    Abstract: A heat treatment method for compound semiconductors includes a step for placing an object to be treated on a stage in a process chamber, and a step for irradiating the surface of the object with an electromagnetic wave having a specific frequency by introducing the electromagnetic wave into the process chamber. A compound semiconductor is heat-treated by the electromagnetic wave irradiated upon the surface of the object to be treated.
    Type: Application
    Filed: November 6, 2009
    Publication date: March 4, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masahiro SHIMIZU
  • Patent number: 7665415
    Abstract: LEDs in an LED display part are arranged so as to have approximately the same placing form as containers. When a chemical solution in a container is exhausted, a corresponding LED of the LED display part lights up. Prior to an operation of replacing the container, an operator allows a bar code reader to read a bar code of an unused container. A bar code reader collator collates the bar code data of the unused container with the bar code data of a container corresponding to the lighting LED, which is already registered in a data base. When the bar code reader collator judges that the chemical solutions in the both containers are identical, the display of the LED is changed from a lighting-up display to a flashing display. This makes it easy to recognize the disposing position of the empty container to be replaced, thereby effectively preventing incorrect replacement of the container.
    Type: Grant
    Filed: February 9, 2006
    Date of Patent: February 23, 2010
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Kazuhiro Nishimura, Masayoshi Shiga
  • Publication number: 20100037819
    Abstract: Techniques for positioning the nano-materials onto one or more targets are provided. In some embodiments, a device for positioning the nano-materials onto one or more targets may comprise a delivery line for delivering a gas from a gas supply, an applicator coupled to the delivery line and having a tip for ejecting the gas, the tip being adjustable so as to be oriented at a predetermined ejection angle with respect to said one or more targets, and an actuator coupled to the applicator for driving the applicator to move over said one or more targets and change the orientation of the tip.
    Type: Application
    Filed: August 14, 2008
    Publication date: February 18, 2010
    Applicant: SNU R&DB Foundation
    Inventor: Youngtack SHIM
  • Publication number: 20100035439
    Abstract: The invention includes inserting an object to be processed into a processing vessel, which can be maintained vacuum, and making the processing vessel vacuum; performing a sequence of forming a ZrO2 film on a substrate by alternately supplying zirconium source and an oxidizer into the processing vessel for a plurality of times and a sequence of forming SiO2 film on the substrate by alternately supplying silicon source and an oxidizer into the processing vessel for one or more times, wherein the number of times of performing each of the sequences is adjusted such that Si concentration of the films is from about 1 atm % to about 4 atm %; and forming a zirconia-based film having a predetermined thickness by performing the film forming sequences for one or more cycles, wherein one cycle indicates that each of the ZrO2 film forming sequences and the SiO2 film forming sequences are repeated for the adjusted number of times of performances.
    Type: Application
    Filed: August 6, 2009
    Publication date: February 11, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yoshihiro Ishida, Katsushige Harada, Takuya Sugawara
  • Publication number: 20100024725
    Abstract: A method and apparatus are described for forming a structure on a substrate. The structure may be a circuit element. The method uses a digital specification 910 for forming the structure, including specifications for printing and curing. The structure is printed (step 112) using a drop-on-demand printer 400, wherein the printing dispenses at least one material on the substrate 420 according to the digital specification 910. The structure is cured (step 130) by irradiating the dispensed material from one or more electromagnetic radiation sources 520, 525 in the printer 400, wherein curing parameters are specified by the digital specification 910 to obtain a desired electrical property when the structure is a circuit element. The curing specification may specify the intensity of the irradiation and the location of irradiation points in the print region.
    Type: Application
    Filed: October 13, 2009
    Publication date: February 4, 2010
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Alison Joan Lennon, Peter Kirkland Thomson, Nicholas Rowland Bingham
  • Publication number: 20100003831
    Abstract: A predicted film formation rate value is computed based on a film formation rate prediction formula obtained in advance and apparatus parameters obtained during a previously-performed film formation process. A processing time required for an amount of film formed on a wafer to reach a predetermined target film thickness is computed based on the computed predicted film formation rate value and the target film thickness. Then, according to the computed processing time, a film-formation process is performed on wafers. In addition, it is determined whether the computed predicted film formation rate value is within a predetermined range, and only when it is determined to be within the predetermined range, the film formation process may be performed.
    Type: Application
    Filed: June 29, 2009
    Publication date: January 7, 2010
    Inventors: Satoshi Yasuda, Shin-ichi Imai
  • Publication number: 20090321936
    Abstract: Provided is a semiconductor device which has excellent adhesiveness to a copper film and a base film thereof and has a small resistance between wirings. The semiconductor device includes a porous insulating layer (SIOC film 11) which absorbed water from the atmosphere, and a substrate (wafer W) having a trench 100 formed on such insulating film is placed in a processing chamber. The substrate is coated with a first base film (Ti film 13) made of a valve metal. The surface of the first film brought into contact with the insulating film is oxidized by the water discharged from the insulating layer, and a passivation film 13a is formed. The surface of the first base film is coated with a second base film made of nitride or carbide of the valve metal, and a copper film 15 is formed on the surface of the second base film by CVD by using a copper organic compound as a material.
    Type: Application
    Filed: June 15, 2007
    Publication date: December 31, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuhiko Kojima, Taro Ikeda
  • Publication number: 20090311850
    Abstract: Methods and apparatus for processing a substrate are provided herein. In some embodiments, a method of processing a substrate may include providing a substrate having at least one of a defect or a contaminant disposed on or near a surface of the substrate; and selectively annealing a portion of the substrate with a laser beam in the presence of a process gas comprising hydrogen. The laser beam may be moved over the substrate or continuously, or in a stepwise fashion. The laser beam may be applied in a continuous wave or pulsed mode. The process gas may further comprise an inert gas, such as, at least one of helium, argon, or nitrogen. A layer of material may be subsequently deposited atop the annealed substrate.
    Type: Application
    Filed: June 20, 2008
    Publication date: December 17, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Errol Sanchez
  • Publication number: 20090298288
    Abstract: Radical in a plasma generation chamber is supplied to a process chamber through an introducing aperture, and HF gas is supplied as a process gas from the vicinity of the radical introducing aperture. A native oxide film of the substrate surface of a IV group semiconductor doped an impurity is removed, with a good surface roughness equal to the wet cleaning. The substrate after the surface treatment is deposited with a metal material and metal silicide formation by thermal treatment is performed, and during these processes, the substrate is not exposed to the atmosphere, and a good contact resistance equal to or better than the wet process is obtained.
    Type: Application
    Filed: April 21, 2009
    Publication date: December 3, 2009
    Applicant: CANON ANELVA CORPORATION
    Inventors: Takuya Seino, Manabu Ikemoto, Kimiko Mashimo
  • Publication number: 20090291217
    Abstract: A supply system for a chambered doctor blade assembly makes possible the sequential use of water-based and non-water-based liquid inks through automated functions programmed in a PLC that controls the system. A pair of pneumatically driven diaphragm pumps serve as supply pump and return pump between the doctor blade chamber and an ink reservoir A PLC controls the pulse rate of pneumatic pressure to the pumps to control the rate of flow of the liquid into, and out of the doctor blade chamber. A capacitive sensor detects a high liquid level in the ink reservoir. The PLC is programmed to modify the pulse rate of the supply pump and return pump to maintain the liquid level in the reservoir above the drain thereof and below the maximum level.
    Type: Application
    Filed: January 6, 2009
    Publication date: November 26, 2009
    Inventors: Thomas James Riga, JR., Danny Richard Gubbels, Robert Burgard
  • Publication number: 20090285991
    Abstract: A coating apparatus includes a liquid film forming mechanism configured to form a liquid film of a process liquid for preventing a contaminant derived from a coating liquid from being deposited or left on a back side peripheral portion of a substrate. The liquid film forming mechanism includes a counter face portion facing the back side peripheral portion of the substrate and a process liquid supply portion for supplying the process liquid onto the counter face portion. The coating apparatus further includes a posture regulating mechanism disposed around the substrate holding member and configured to damp a vertical wobble of the peripheral portion of the substrate being rotated. The posture regulating mechanism includes delivery holes arrayed in a rotational direction of the substrate and configured to deliver a gas onto a back side region of the substrate on an inner side of the peripheral portion.
    Type: Application
    Filed: February 23, 2009
    Publication date: November 19, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takahiro Kitano, Koichi Obata, Hiroichi Inada, Nobuhiro Ogata
  • Publication number: 20090285984
    Abstract: A coating apparatus includes a driving unit configured to rotate a substrate holding member about a vertical axis to spread a coating liquid supplied on a front side central portion of a substrate toward a front side peripheral portion of the substrate by a centrifugal force. The apparatus is provided with a wobble damping mechanism including a gas delivery port and a suction port both disposed to face a back side of the substrate and configured to damp a wobble of the substrate being rotated by delivering a gas from the delivery port and sucking the gas into the suction port.
    Type: Application
    Filed: February 27, 2009
    Publication date: November 19, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takahiro KITANO, Koichi OBATA, Hiroichi INADA, Nobuhiro OGATA