Producing Energized Gas Remotely Located From Substrate Patents (Class 118/723ER)
  • Patent number: 6162709
    Abstract: A substrate processing system that includes a deposition chamber having a reaction zone, first and second electrodes, a mixed frequency RF power supply including a low frequency RF power source and a high frequency RF power source. The high frequency RF power supply provides enough power to form a plasma from a process gas introduced into the reaction zone and the low frequency RF power supply is configured to supply an asymmetrical waveform to either said first or second electrodes to bias the plasma toward the substrate.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: December 19, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Sebastien Raoux, Mandar Mudholkar
  • Patent number: 6143124
    Abstract: An apparatus for forming an electromagnetic field in a processing chamber, including a first pair of electrodes for generating a first electromagnetic field within the processing chamber and a second pair of electrodes for generating a second electromagnetic field within the processing chamber. The first and second pairs of electrodes are oriented so that the first and second electromagnetic fields are oriented generally perpendicular to each other. When energized, the first and second electromagnetic fields combine and may take the form of a lissajous pattern. When a gas is exposed to the combined electromagnetic field a plasma may be formed in the general shape of the combined electromagnetic field.
    Type: Grant
    Filed: August 22, 1997
    Date of Patent: November 7, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Kie Y. Ahn
  • Patent number: 6129856
    Abstract: In a process for surface-finishing inner surfaces of hollow bodies with at east one opening the hollow body is finished from inside by means of a hollow-cathode glow discharge as the excitation source.
    Type: Grant
    Filed: June 23, 1998
    Date of Patent: October 10, 2000
    Assignee: Fraunhofer-Gesellschaft zur Forderung der angewandten Forschung e.V.
    Inventors: Thomas Jung, Anke Hellmich
  • Patent number: 6126792
    Abstract: For the application of a scratch protection layer on plastic substrates, a plasma is produced by the plasma CVD method, away from the individual plastic substrate, in an excitation gas, and this excitation gas is supplied through a tube to the plastic substrate. Subsequently, an antireflection layer is applied by means of a gas flow sputter source. The apparatus provided for this has a plasma CVD chamber (1) and a gas flow sputter chamber (2), next to one another. The plastic substrates (7,8) to be coated are transported from the plasma CVD chamber (2) to the gas flow sputter chamber (1) with the aid of a transporting device (3), designed as a turning plate.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: October 3, 2000
    Assignee: Leybold Systems GmbH
    Inventors: Heinrich Grunwald, Michael Liehr
  • Patent number: 6116186
    Abstract: An apparatus comprising a semiconductor processing chamber, a plasma generator, and a pipe connecting a semiconductor processing chamber and the plasma generator. The plasma generator includes a generation chamber, a radio frequency generator which generates an ion plasma within the generation chamber, a magnetic device which confines the plasma primarily within a center region of the generation chamber, and a thermally conductive member disposed around the outer surface of the generation chamber for removing heat away from the chamber.
    Type: Grant
    Filed: March 19, 1998
    Date of Patent: September 12, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Steven T. Li, Andrew J. Ruspini, Henry Ho
  • Patent number: 6112696
    Abstract: A constriction in the exhaust side of a discharge chamber containing oxygen isolates the oxygen supply from the rest of the system. A constriction of equal size or larger is used in the supply of another gas, thereby enabling mixtures of oxygen and other gases to be used in a downstream plasma system. In one embodiment of the invention, the gases are dissociated separately and then combined in a mixing chamber. In another embodiment, oxygen is dissociated and then a lighter gas is added and the mixture is dissociated. In a preferred embodiment of the invention, the lighter gas is selected from the group consisting of water vapor and nitrogen.
    Type: Grant
    Filed: February 17, 1998
    Date of Patent: September 5, 2000
    Assignee: Dry Plasma Systems, Inc.
    Inventor: Georges J. Gorin
  • Patent number: 6110556
    Abstract: A chemical vapor deposition (CVD) system of the type having an enclosure housing a process chamber and a supply of cleaning gas, features a lid having a base plate with opposed first and second major surfaces and a plurality of throughways extending therebetween to provide an asymmetric flow of cleaning gas into the chamber. Specifically, a subportion of the second major surface lies in a plane of truncation and faces the process chamber when the lid is in a closed position. The remaining portions of the second major surface are recessed, defining central and annular recesses. The annular recess has a base surface and two spaced-apart side surfaces extending from the base surface and terminating proximate to the plane of truncation. The plurality of throughways consists of primary and secondary throughways, each of which extends from an opening in the first major surface and terminates in an orifice.
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: August 29, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Won Bang, Ellie Yieh, Thanh Pham
  • Patent number: 6101972
    Abstract: A substrate processing system includes a processing chamber, a substrate holder positioned in the chamber, a gas source for supplying a process gas to the chamber, at least one ion source located in the chamber, and a power source for energizing the ion source by positively biasing the anode and negatively biasing the cathode, the bias in each instance being relative to the chamber. The ion source ionizes the process gas producing ions for processing a substrate disposed on a substrate holder in the chamber. One embodiment includes two such ion sources. In this case, the power source energizes the first and second anodes and the cathodes in a time multiplexed manner, such that only one of the first or second ion sources is energized at any time and interactions between ion sources are eliminated.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: August 15, 2000
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, James H. Rogers, Jun Xie
  • Patent number: 6101973
    Abstract: This invention relates to an apparatus and a method for improving slip characteristics on the surface of a polymeric material, such as an outer surface, inner surface, or both of polymeric tubing.
    Type: Grant
    Filed: March 4, 1999
    Date of Patent: August 15, 2000
    Assignee: Medtronic, Inc.
    Inventors: Mark T. Stewart, Kenneth E. Cobian, Michael J. Ebert
  • Patent number: 6095085
    Abstract: The present invention provides a plasma processing system comprising a remote plasma activation region for formation of active gas species, a transparent transfer tube coupled between the remote activation region and a semiconductor processing chamber, and a source of photo energy for maintaining activation of the active species during transfer from the remote plasma activation region to the processing chamber. The source of photo energy preferably includes an array of UV lamps. Additional UV lamps may also be used to further sustain active species and assist plasma processes by providing additional in-situ energy through a transparent window of the processing chamber.
    Type: Grant
    Filed: August 20, 1998
    Date of Patent: August 1, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6089182
    Abstract: A plasma etching apparatus of the induction coupling type for processing an LCD substrate has a process container forming an airtight process room. A work table is arranged in the process room for supporting the LCD substrate. A vacuum pump is arranged for exhausting and setting the process room into a vacuum state. An antenna block having a plurality of dielectric layers is arranged to face the work table. An RF antenna is embedded in one of the dielectric layers of the antenna block for forming an electric field. A power supply is connected to the RF antenna for applying an RF power. The lowermost layer of the antenna block is formed as a shower head for supplying a process gas into the process room from a position between the RF antenna and the work table. At least part of the process gas is turned into plasma by the electric field.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: July 18, 2000
    Assignee: Tokyo Electron Limited
    Inventor: Kiichi Hama
  • Patent number: 6086679
    Abstract: The described deposition systems are designed to accommodate new precursors and chemical processes used for transport polymerization and chemical vapor deposition. The systems consist primarily of a reactor, a liquid injector or gas mass flow controller, a cracker and a deposition chamber under sub-atmospheres pressure. The cracker utilizes one or more types of energy, including heat, photons, and plasmas. This invention is especially useful for preparing F-PPX (fluorinated poly(para-xylylenes) and other fluorinated polymer thin films for intermetal dielectric (IMD) and interlevel dielectric (ILD) applications in the manufacture of integrated circuits with features <0.25 .mu.m in size.
    Type: Grant
    Filed: October 24, 1997
    Date of Patent: July 11, 2000
    Assignee: Quester Technology, Inc.
    Inventors: Chung J. Lee, Hui Wang, Giovanni Antonio Foggiato
  • Patent number: 6076483
    Abstract: A plasma processing apparatus has a plurality of annular permanent magnets arranged concentrically with the same polarity in the circumferential direction at the atmosphere side of a second electrode arranged opposite to a stage on which a specimen is placed. Arrangement is provided so that the magnets located adjacent radially have opposite polarity. Furthermore, permanent magnets are arranged at the outer circumference of a vacuum vessel corresponding to a plasma generation chamber portion. A plasma processing apparatus can be provided that allows formation of uniform plasma over a large area and uniform processing of a specimen of a large diameter.
    Type: Grant
    Filed: March 26, 1998
    Date of Patent: June 20, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Kenji Shintani, Masakazu Taki, Hiroki Ootera, Kazuyasu Nishikawa
  • Patent number: 6071572
    Abstract: In a vapor deposition chamber which holds a substrate for processing, a method including the steps of forming a layer of material on the surface of the substrate, wherein the layer of material is made of Ti atoms; remotely activating a source gas containing nitrogen so as to produce activated nitrogen gas species; and while forming the layer of material on the substrate, injecting the activated nitrogen species into the processing chamber to increase the population of activated nitrogen species that is incorporated into the layer of material that is being formed.
    Type: Grant
    Filed: October 15, 1996
    Date of Patent: June 6, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Roderick C. Mosely, Jim Van Gogh, Karl A. Littau
  • Patent number: 6055927
    Abstract: An apparatus and method for reducing the production of white powder in a process chamber used for depositing silicon nitride. Steps of the method include heating at least a portion of a wall of the process chamber; providing a liner covering a substantial portion of a wall of the process chamber; providing a remote chamber connected to the interior of the process chamber; causing a plasma of cleaning gas in the remote chamber; and flowing a portion of the plasma of cleaning gas into the process chamber.
    Type: Grant
    Filed: January 14, 1997
    Date of Patent: May 2, 2000
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Quanyuan Shang, Robert McCormick Robertson, Kam S. Law, Dan Maydan
  • Patent number: 6017396
    Abstract: A film formation device for forming a film on a substrate according to the present invention includes: a plurality of vacuum chambers, each of the plurality of vacuum chambers including a gas introduction section for introducing a reactive gas, a plasma generation section for generating a plasma, and a support member for supporting the substrate. The plasma generation section in at least one of the plurality of vacuum chambers is disposed at a predetermined position for preventing the substrate from sustaining substantial irradiation damage by the generated plasma.
    Type: Grant
    Filed: August 2, 1996
    Date of Patent: January 25, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Tetsuya Okamoto
  • Patent number: 6015476
    Abstract: The invention is embodied in a magnetically enhanced plasma reactor for processing a semiconductor workpiece, including a reactor enclosure defining a vacuum chamber, a wafer support for holding the workpiece inside the chamber, a plasma power source for applying plasma source power into the chamber, a first plurality of electrically conductive elongate filaments, each being of a finite length, distributed about a periphery of the chamber enclosure, each of said filaments extending at least generally in an axial direction relative to the chamber. The plurality of filaments is capable of permitting different currents through different ones of at least some of the filaments in accordance with a distribution of currents among the filaments corresponding to a desired magnetic field configuration. Respective current sources are preferably connected to deliver respective currents to different ones of the plurality of filaments.
    Type: Grant
    Filed: February 5, 1998
    Date of Patent: January 18, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Ross D. Schlueter, Steve Marks
  • Patent number: 6009830
    Abstract: A plasma etch reactor having independent gas feeds above the wafer and either at the sides or below the wafer. Preferably, a carrier gas such as argon is supplied from a showerhead electrode above the wafer while an etching gas is supplied from below. In the case of selectively etching an oxide over a non-oxide layer, the etchant gas should include one or more fluorocarbons.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: January 4, 2000
    Assignee: Applied Materials Inc.
    Inventors: Haojiang Li, Robert W. Wu
  • Patent number: 5988104
    Abstract: The present invention provides a plasma treatment system having a reaction chamber in which a plasma is generated to be irradiated onto a sample held on a sample holder in the reaction chamber. The reaction chamber has a top covered by a dielectric plate. The plasma treatment system also has an opposite electrode having a plurality of windows through which microwave is transmitted into the reaction chamber for causing the plasma, wherein the opposite electrode is provided over a top surface of the dielectric plate so that the opposite electrode is separated by the dielectric plate from the plasma generated in the reaction chamber.
    Type: Grant
    Filed: July 29, 1997
    Date of Patent: November 23, 1999
    Assignee: NEC Corporation
    Inventor: Hidetaka Nambu
  • Patent number: 5970908
    Abstract: An apparatus for initial ion cleaning, vapor metal deposition and protective coating of objects by vacuum deposition. The apparatus includes a vacuum chamber for receiving the objects which are held on a movable rack or support. A metal such as aluminum is vaporized centrally in the chamber in a well known fashion after the chamber has been substantially evacuated of air molecules for uniform vapor deposition of the metal atop exposed surfaces of the objects. An improved polymerization gun includes an elongated housing having an arcuate or concaved surface which is connected to an external surface of the chamber over an elongated opening formed through a chamber side wall. The polymerization gun also includes an elongated conductive preferably aluminum rod disposed along the opening tangentially to the chamber surface and two apertured delivery tubes or members positioned within the housing. The conductive rod is electrically isolated from the housing and chamber and connected to a d.c. or a.c.
    Type: Grant
    Filed: March 4, 1998
    Date of Patent: October 26, 1999
    Assignee: CompuVac Systems, Inc.
    Inventors: Richard Glanz, Richard Vignola, deceased
  • Patent number: 5961772
    Abstract: Atmospheric-pressure plasma jet. A .gamma.-mode, resonant-cavity plasma discharge that can be operated at atmospheric pressure and near room temperature using 13.56 MHz rf power is described. Unlike plasma torches, the discharge produces a gas-phase effluent no hotter than 250.degree. C. at an applied power of about 300 W, and shows distinct non-thermal characteristics. In the simplest design, two concentric cylindrical electrodes are employed to generate a plasma in the annular region therebetween. A "jet" of long-lived metastable and reactive species that are capable of rapidly cleaning or etching metals and other materials is generated which extends up to 8 in. beyond the open end of the electrodes. Films and coatings may also be removed by these species. Arcing is prevented in the apparatus by using gas mixtures containing He, which limits ionization, by using high flow velocities, and by properly shaping the rf-powered electrode.
    Type: Grant
    Filed: January 23, 1997
    Date of Patent: October 5, 1999
    Assignee: The Regents of the University of California
    Inventor: Gary S. Selwyn
  • Patent number: 5951771
    Abstract: A plasma jet CVD system includes gas injectors and a stand-off ring. The gas injectors have outlet holes preferably flared to approach the expansion angle of the injected jet, thereby keeping the holes substantially free from entrained atomic hydrogen. The injectors are arranged counter-rotational to the swirl of the primary jet, providing a more uniform mixture of hydrocarbons and atomic hydrogen. The stand-off ring provides vents for cooler gases to enter the nozzle, thereby decreasing the overall temperature of the injectors and decreasing the temperature gradient experienced by the injectors, thereby preventing injector cracking. In addition the vents reduce shear, thereby increasing jet velocity and increasing the deposition rate for the coating.
    Type: Grant
    Filed: September 30, 1996
    Date of Patent: September 14, 1999
    Assignee: Celestech, Inc.
    Inventors: Daniel V. Raney, Michael Scott Heuser, Stephen M. Jaffe, C. B. Shepard, Jr.
  • Patent number: 5948168
    Abstract: A plasma reactor has plural dielectric gas injection tubes extending from a gas injection source and through a microwave guide and into the top of the reactor chamber. The semiconductor wafer rests near the bottom of the chamber on a wafer pedestal connected to a bias RF power source which is controlled independently of the microwave source coupled to the microwave guide. The microwaves from the waveguide ignite and maintain a plasma in each of the tubes. Gas flow through the tubes carries the plasmas in all the tubes into the chamber and into contact with the wafer surface.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: September 7, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Hongching Shan, Harald Herchen, Michael Welch
  • Patent number: 5944901
    Abstract: The indirect plasmatron comprises a neutrode assembly comprising a plurality of plate-shaped electrode members which are electrically insulated from each other. In its interior, the neutrode assembly defines an elongated plasma channel. The outlet aperture for the plasma torch is in the shape of an elongate slot and extends parallel to the central longitudinal axis of the plasma channel. Each of the two electrodes of the plasmatron is surrounded by a cavity through which an inert gas can be fed into the plasma channel. For the purpose of stabilizing the electric arc, at least one pair of permanent magnet members is provided. Their magnetic field exerts a force onto the electric arc which is directed opposite to the force exerted onto the electric arc by the flow of the plasma gas. Particular neutrodes are provided with a channel for feeding a further gas into the plasma channel.
    Type: Grant
    Filed: December 19, 1997
    Date of Patent: August 31, 1999
    Assignee: Sulzer Metco AG
    Inventors: Klaus Landes, Jochen Zierhut, Ralf Hartmann
  • Patent number: 5922134
    Abstract: In a simultaneous discharge device for discharging inside of two chambers 4, 14 simultaneously by a single high-frequency power supply P, electric power dividing means 20 connects high-frequency power supply P to two chambers 4, 14, wherein electric power dividing means 20 comprises a first conductor plate 21 which connects the respective electrodes of the two chambers 4,14, a second conductor plate 23 which connects to the end of Rf cables 22 in said high-frequency power supply P and a conductor bar 24 which connects electrically the first and second conductor plates at a plurality of positions so that an impedance between the power supply and each of the chambers becomes equal.
    Type: Grant
    Filed: May 14, 1997
    Date of Patent: July 13, 1999
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventor: Kazuto Ohbuchi
  • Patent number: 5904799
    Abstract: This invention is a hardware modification which permits greater uniformity of etching to be achieved in a high-density-source plasma reactor (i.e., one which uses a remote source to generate a plasma, and which also uses high-frequency bias power on the wafer chuck). The invention addresses the uniformity problem which arises as the result of nonuniform power coupling between the wafer and the walls of the etch chamber. The solution to greatly mitigate the nonuniformity problem is to increase the impedance between the wafer and the chamber walls. This may be accomplished by placing a cylindrical dielectric wall around the wafer. Quartz is a dielectric material that is ideal for the cylindrical wall if silicon is to be etched selectively with respect to silicon dioxide, as quartz it is virtually inert under such conditions.
    Type: Grant
    Filed: September 6, 1995
    Date of Patent: May 18, 1999
    Assignee: Micron Technology, Inc.
    Inventor: Kevin G. Donohoe
  • Patent number: 5895531
    Abstract: An apparatus for initial ion cleaning, vapor metal deposition and protective coating of objects by vacuum deposition. The apparatus includes a vacuum chamber for receiving the objects which are held on a movable rack or support. A metal such as aluminum is vaporized centrally in the chamber in a well known fashion after the chamber has been substantially evacuated of air molecules for uniform vapor deposition of the metal atop exposed surfaces of the objects. A polymerization gun includes an elongated housing which is connected to an external surface of the chamber over an elongated opening formed through a chamber side wall. The polymerization gun also includes an elongated conductive preferably aluminum rod disposed along the opening and two apertured delivery tubes or members positioned within the housing. The conductive rod is electrically isolated from the housing and chamber and connected to a d.c. or a.c.
    Type: Grant
    Filed: December 13, 1996
    Date of Patent: April 20, 1999
    Assignee: CompuVac Systems, Inc.
    Inventor: Richard Vignola, deceased
  • Patent number: 5891312
    Abstract: A process for forming a thin metal coating on a substrate wherein a gas stream heated by an electrical current impinges on a metallic target in a vacuum chamber to form a molten pool of the metal and then vaporize a portion of the pool, with the source of the heated gas stream being on one side of the target and the substrate being on the other side of the target such that most of the metallic vapor from the target is directed at the substrate.
    Type: Grant
    Filed: December 24, 1996
    Date of Patent: April 6, 1999
    Assignee: The United States of America as represented by the Administrator of the National Aeronautics and Space Administration
    Inventors: Jack L. Weeks, Douglas M. Todd
  • Patent number: 5885356
    Abstract: The present invention provides a method and apparatus for limiting residue build-up by lining with a ceramic material the exhaust plenun and exhaust manifold of a processing chamber. In another aspect of the invention, the inventors have used an air gap between the ceramic liner and the processing chamber walls to increase the dielectric value of the ceramic liner, and further inhibit the build-up of residues. In another aspect, the ceramic liner has been found to retain sufficient heat to allow the elimination of heaters typically used to heat the aluminum walls during a clean operation, if the clean operation is commenced immediately after a process step so that the ceramic retains the necessary heat from the previous processing step. The provision of an air gap aids in this heating, preventing the ceramic heat from being drawn off by direct contact with the aluminum walls. In a preferred embodiment, the ceramic liners are attached to the chamber walls with TEFLON.RTM. (polytetrafluoroethylene) screws.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: March 23, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Tom Cho, Xin Sheng Guo, Atsushi Tabata, Jianmin Qiao, Alex Schreiber
  • Patent number: 5865897
    Abstract: A film of a II-VI group compound semiconductor of at least one of elements belonging to the II group of the periodic table and at least one of elements belonging to the VI group of the periodic table is deposited on a substrate. When the film is deposited on the substrate, a plasma of nitrogen in an excited state is applied to the substrate while removing charged particles from said plasma by a charged particle removing means. The deposited film of a nitrogen-doped II-VI group compound semiconductor has an increased percentage of activated nitrogen atoms and improved crystallinity.
    Type: Grant
    Filed: February 9, 1996
    Date of Patent: February 2, 1999
    Assignee: Sony Corporation
    Inventors: Satoshi Ito, Satoshi Taniguchi, Masao Ikeda, Hiroyuki Okuyama, Hironori Tsukamoto, Masaharu Nagai, Koshi Tamamura
  • Patent number: 5855725
    Abstract: A vacuum processing system for executing processing with plasma. The system includes plasma arrival prevention magnets for forming a magnetic field along the inner face of a vacuum vessel to prevent plasma from arriving at the inner face of the vacuum vessel, and a move mechanism for relatively moving the plasma arrival prevention magnets or the vacuum vessel so as to compensate vector unevenness of the plasma arrival prevention magnets in a direction of the inner face of the vacuum vessel for uniformly depositing a thin film on the inner face. The move mechanism moves the plasma arrival prevention magnets or the vacuum vessel during the vacuum processing interim and at the etching removal of the thin film deposited on the inner face of the vacuum vessel.
    Type: Grant
    Filed: May 30, 1996
    Date of Patent: January 5, 1999
    Assignee: Anelva Corporation
    Inventor: Jonro Sakai
  • Patent number: 5846329
    Abstract: A plasma processing apparatus has first and second electrodes disposed around a tubular chamber for generating a plasma. Each of the first and second electrodes comprises a plurality of web-shaped electrode segments spaced by a constant distant and disposed substantially halfway around the chamber. Each of the web-shaped electrode segments has opposite ends fastened to a pair of respective insulators disposed diametrically opposite to each other across the chamber. The web-shaped electrode segments of the first electrode are electrically connected to each other by a conductor on one of the insulators, and the web-shaped electrode segments of the second electrode are electrically connected to each other by a conductor on the other conductors. The first electrode is connected to a high-frequency power supply, and the second electrode is connected to ground.
    Type: Grant
    Filed: February 24, 1997
    Date of Patent: December 8, 1998
    Assignee: Tokyo Ohka Kogyo Co., Ltd.
    Inventors: Hisashi Hori, Kazuto Ohbuchi, Atsushi Matsushita, Kaoru Sakamoto
  • Patent number: 5807615
    Abstract: A method of forming a gaseous treatment atmosphere capable of depositing a silicon on a metal substrate comprising the steps of:converting an initial gas mixture into a primary gas mixture in an apparatus for forming excited or unstable gas species, the primary gas mixture comprising excited or unstable gaseous species substantially devoid of electrically charged species,combining the primary gas mixture with an adjacent gas mixture which comprises at least one silicon precursor gas and which has not passed through the apparatus, to form the gaseous treatment atmosphere.
    Type: Grant
    Filed: June 6, 1996
    Date of Patent: September 15, 1998
    Assignee: L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Thierry Sindzingre, Stephane Rabia
  • Patent number: 5807614
    Abstract: A method of forming a gaseous treatment atmosphere capable of depositing a silicon-containing film on a nonmetallic substrate comprising the steps of:converting an initial treatment gas mixture into a primary treatment gas mixture in an apparatus for forming excited or unstable gas species, the primary treatment gas mixture comprising excited or unstable gaseous species substantially devoid of electrically charged species,combining the primary treatment gas mixture with an adjacent treatment gas mixture which comprises at least one gaseous silicon precursor which has not passed through the apparatus, to form the gaseous treatment atmosphere.
    Type: Grant
    Filed: June 5, 1996
    Date of Patent: September 15, 1998
    Assignee: L'Air Liquide, Societe Anonyme pour l'Etude et l'Exploitation des Procedes Georges Claude
    Inventors: Thierry Sindzingre, Stephane Rabia, Fran.cedilla.ois Coeuret
  • Patent number: 5803973
    Abstract: A source of alternating current (3), is connected to two magnetron cathodes (4, 5), one pole (8) of the a.c. current source (4) being connected to one of the cathodes (4), while the other pole (9) is connected to the other cathode (5), each by its own power supply line (10, 11). Each of the two cathodes (4, 5) is installed in its own compartment (12, 13), the two compartments enclosing between them a third compartment (14), connected to a vacuum source (21). The two outside compartments (12, 13) are connected to each other by openings (15, 16) or gaps in the walls (17, 18) separating them, and the substrate (2) set up in the third compartment (14) facing a CVD source, which consists essentially of a reactive gas inlet (19) and a collimator (20).
    Type: Grant
    Filed: October 3, 1996
    Date of Patent: September 8, 1998
    Assignee: Balzers und Leybold Deutschland Holding AG
    Inventors: Joachim Szczyrbowski, Gotz Teschner
  • Patent number: 5753320
    Abstract: A process for forming a deposited film on a substrate according to the chemical vapor deposition method comprises previously forming excited species of a gas phase compound containing atoms which become constituents constituting said deposited film, supplying the excited species onto the surface of said substrate and effecting photoirradiation on said substrate surface, thereby forming the deposited film through the surface reaction.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: May 19, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuo Mikoshiba, Tadahiro Ohmi, Kazuo Tsubouchi, Kazuya Masu, Nobumasa Suzuki
  • Patent number: 5707692
    Abstract: A plasma processing apparatus for processing a base substance installed within a processing chamber into which predetermined gases are flowed and which is maintained at a predetermined pressure by producing a plasma within said processing chamber is characterized by comprising plasma producing means for producing the plasma within said processing chamber including at least two ground electrodes provided on external peripheries of said processing chamber, and an rf electrode provided on external periphery of said processing chamber between said two ground electrodes, and magnetic field producing means for producing a magnetic field orthogonal to an electric field formed by said plasma producing means.
    Type: Grant
    Filed: October 11, 1994
    Date of Patent: January 13, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventor: Nobumasa Suzuki
  • Patent number: 5698035
    Abstract: A heat-resistive electrode material substantially consisting of 40 to 60 wt % of at least one of ZrB.sub.2 and TiB.sub.2, 20 to 50 wt % of BN, and not more than 30 wt % of AlN is disclosed. This heat-resistive electrode material is used in at least portions of electrodes of an apparatus having a plasma generating unit, e.g., an ion source, a plasma etching apparatus, or a plasma CVD apparatus, that contacts a plasma.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: December 16, 1997
    Assignees: Tokyo Electron Limited, Denki Kagaku Kogyo Kabushiki Kaisha
    Inventors: Masahiko Matsudo, Akira Koshiishi, Kei Isozaki, Yutaka Hirashima
  • Patent number: 5683517
    Abstract: A plasma reactor for processing a semiconductor wafer inside a vacuum chamber has an array of gas distribution orifices in said chamber facing respective underlying portions of a top surface of said wafer, a gas flow supply, apparatus for individually coupling gas to respective ones of said gas distribution orifices from said gas flow supply at respective individual gas flow rates whereby respective gas flow rates over said respective underlying portions of said top surface of said wafer are respectively determined and apparatus for igniting a plasma inside said chamber from gases contained therein for processing said wafer.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: November 4, 1997
    Assignee: Applied Materials, Inc.
    Inventor: Hongching Shan
  • Patent number: 5676758
    Abstract: A CVD mechanism includes a reactor, a substrate holder, a heating apparatus for heating the substrate holder, a reaction gas supply plate for supplying reaction gas into the reactor, and at least two cylinders disposed in a concentric form on the substrate-facing surface of the reaction gas supply plate so that reaction gas is supplied from an inward portion of each cylinder in the reaction gas supply plate. A power supply mechanism for supplying power to the reaction gas supply plate and the substrate holder, and ring magnets disposed in the upper and lower portions of the reactor are provided so that magnetic lines of force passing through a plasma space are generated by the facing magnetic pole parts of the respective magnets.
    Type: Grant
    Filed: April 22, 1996
    Date of Patent: October 14, 1997
    Assignee: Anelva Corporation
    Inventors: Shinya Hasegawa, Shigeru Mizuno, Kazuhito Watanabe, Nobuyuki Takahashi, Manabu Tagami, Takanori Yoshimura, Hajime Sahase
  • Patent number: 5651826
    Abstract: A plasma processing apparatus is provided with a plasma generating mechanism including an electric discharge chamber and an annular antenna, a plasma diffusion chamber for diffusing plasma generated by the plasma generating mechanism for processing a substrate, a first temperature adjusting mechanism for adjusting the temperature of the plasma diffusion chamber, a magnetic field generating mechanism arranged around the plasma diffusion chamber to generate a magnetic field in the plasma diffusion chamber, an evacuating mechanism, a gas introducing mechanism, and a substrate holding mechanism. The magnetic field generating mechanism includes permanent magnets and a yoke, and a heat insulating portion is formed between the permanent magnets and the plasma diffusion chamber. A second temperature adjusting mechanism for adjusting the temperature of the permanent magnets is used.
    Type: Grant
    Filed: May 23, 1996
    Date of Patent: July 29, 1997
    Assignee: Anelva Corporation
    Inventor: Ken-ichi Takagi
  • Patent number: 5643364
    Abstract: A plasma chamber RF excitation system includes a high frequency RF power source having a fixed RF match circuit at its output and sensing and control apparatus for sensing the amount of RF power delivered by the RF power source and for regulating the output power level of the RF power source so as to maintain the RF power delivered by the RF power source at a desired level, and an RF plasma chamber including an RF radiator. The power source is mounted proximate or directly on the plasma chamber so that the distance between them is much less than an eighth of a wavelength at thr frequency of the RF source. The system may further include an endpoint detector for a plasma etch process or a chamber cleaning process which halts the process when the VSWR or reflected power ceases to change in response to the progress of the etch process.
    Type: Grant
    Filed: May 2, 1996
    Date of Patent: July 1, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Jun Zhao, Stefan Wolff, Kenneth Smyth, William Nixon Taylor, Jr., Gerald McNutt
  • Patent number: 5641359
    Abstract: A system for extending the tuning ranges of various parameters that control plasma ignition within a process chamber effectively reduces the RF power level necessary for plasma ignition within the process chamber. A central conductor is coaxially disposed within a conduit that conveys a process gas to the process chamber. The process gas is energized within the conduit by application of an RF power source thereto. In particular, process gas molecules flowing through the conduit are excited by the RF power which is coupled to the central conductor. The excited process gas is then injected into the process chamber. An RF or microwave power source is supplied to the process chamber using conventional techniques to stimulate the excited gas and thereby ignite a plasma therein.
    Type: Grant
    Filed: February 8, 1996
    Date of Patent: June 24, 1997
    Assignee: Applied Materials, Inc.
    Inventor: Simon Yavelberg
  • Patent number: 5622566
    Abstract: A film-forming apparatus for forming an impurity-doped film on an object such as a semiconductor wafer has an elongated reaction tube located such that its longitudinal direction is identical to the vertical direction, and having an object arrangement region in which a plurality of objects or semiconductor wafers to be processed can be arranged at intervals in the vertical direction. A film-forming gas is introduced into the reaction tube through a film-forming gas introduction pipe.
    Type: Grant
    Filed: May 10, 1995
    Date of Patent: April 22, 1997
    Assignee: Tokyo Electron Limited
    Inventors: Atsushi Hosaka, Mitsuaki Iwashita, Toshiharu Nishimura
  • Patent number: 5620523
    Abstract: This invention relates to film-forming apparatus for forming an insulating film, for example, by the CVD method using an activated reaction gas. It is aimed at simplifying the apparatus, ensuring high film quality, enhancing the efficiency of formation of a plasma, and improving the uniformity of thickness of the produced film. The film-forming apparatus includes a plasma generator and a first gas discharger for discharging a first reaction gas into the plasma generator and a second gas discharger for discharging a second reaction gas onto a substrate. The second gas discharger includes a plurality of gas discharge pipes, in each of which a plurality of gas discharge holes are formed, whereby the second reaction gas is discharged from the gas discharge holes into contact with the activated first reaction gas and is itself activated so that a film is formed on the substrate through reaction of first and second reaction gases.
    Type: Grant
    Filed: February 16, 1995
    Date of Patent: April 15, 1997
    Assignees: Canon Sales Co., Inc., Alcan-Tech Co., Inc., Semiconductor Process Laboratory Co., Ltd.
    Inventors: Kazuo Maeda, Kouichi Ohira, Yuhko Nishimoto
  • Patent number: 5616181
    Abstract: An MBE apparatus includes a reaction chamber in which a molecular beam of a gas irradiates a substrate for crystal growth; a gas bomb containing the gas; a regulator for reducing the pressure of the gas from the gas bomb; a pressure control apparatus having one or more anode and cathode electrodes, a coil for generating a magnetic field applied to the supplied gas, and a controller for controlling the electric field between the anode and cathode electrodes, the area of the anode and cathode electrodes, and the magnetic field generated by the coil, so that a molecular beam irradiates the substrate with the gas supplied. The supply of the gas may be quickly varied with high reproducibility and high precision. In addition, a semiconductor layer having a uniform carrier concentration can be easily formed on the semiconductor substrate or a semiconductor layer having a uniform composition ratio can be easily formed on the semiconductor substrate.
    Type: Grant
    Filed: November 21, 1995
    Date of Patent: April 1, 1997
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Yoshitsugu Yamamoto, Kaoru Kadoiwa
  • Patent number: 5614026
    Abstract: A showerhead includes a plurality of gas inlets for supplying process gas to a semiconductor substrate surface, and a plurality of gas outlets for removing gas and volatile byproducts produced as a result of reaction of the process gas with the substrate surface. Each gas inlet is concentrically positioned within a respective gas outlet. The showerhead improves the utilization of process gas species at the substrate surface by providing gas flow in a direction perpendicular to the substrate surface and avoiding flow of the process gas or volatile byproducts laterally across the substrate surface. The showerhead is useful for uniform stripping of a mask of organic material by direct contact of the incoming reactive gas with the substrate surface and immediate removal of the process gas and volatile byproducts through the concentrically arranged gas outlets.
    Type: Grant
    Filed: March 29, 1996
    Date of Patent: March 25, 1997
    Assignee: LAM Research Corporation
    Inventor: Norman Williams
  • Patent number: 5609690
    Abstract: A vacuum plasma processing apparatus includes a vacuum processing container accommodating a to-be-processed substrate, a feeding device for feeding a reaction gas to the container, a vacuumizing device for discharging a gas in the container therefrom, a susceptor for holding the to-be-processed substrate arranged in the container, split electrodes arranged in a deltaic lattice at a wall surface of the container facing the to-be-processed substrate, and a power source unit for impressing to the slit electrodes three-phase RF powers having three phases different from each other. When the electrodes are arranged in an orthogonal lattice at the wall surface of the continuer, the power source unit impresses thereto two-phase RF powers having two phases different from each other.
    Type: Grant
    Filed: February 15, 1995
    Date of Patent: March 11, 1997
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Syouzou Watanabe, Masaki Suzuki, Ichiro Nakayama, Tomohiro Okumura
  • Patent number: 5593740
    Abstract: A method and apparatus for making carbon-encapsulated ultrafine metal particles, in which metal powder intended for encapsulation is injected at a rate up to 25 grams per minutes into a plasma arc so that the metal powder is vaporized. The vaporized metal powder is then mixed with an active gas flow, which consists of hydrocarbons, filled in the surrounding area of the plasma arc, and thereby the vaporized metal powder and the active gas interact continuously producing carbon encapsulated ultrafine metal particles that are remarkably predictable in particle size, distribution of sizes and atomic composition.
    Type: Grant
    Filed: January 17, 1995
    Date of Patent: January 14, 1997
    Assignee: Synmatix Corporation
    Inventors: Emil E. Strumban, Edward K. Dobrinsky, Anatoly A. Kuznetsov, Avetik H. Harutyunyan
  • Patent number: 5587045
    Abstract: Apparatus controls a wafer potential in a plasma system when the plasma is off to keep the wafer slightly negative at all times in order to reduce and eliminate the collection of charged particles on the wafer. The apparatus allows the wafer bias to be reduced to a small negative voltage and then holds that voltage. This greatly reduces the net positive flux to the wafer. A diode and a programmed power supply hold a minimum negative voltage on the back of the wafer electrode when the plasma density is decaying to zero.
    Type: Grant
    Filed: April 27, 1995
    Date of Patent: December 24, 1996
    Assignee: International Business Machines Corporation
    Inventors: John H. Keller, Gregory Costrini