Having Glow Discharge Electrodes (e.g., Dc, Ac, Rf, Etc.) Patents (Class 118/723E)
  • Patent number: 6165311
    Abstract: The invention is embodied in an inductively coupled RF plasma reactor including a reactor chamber enclosure defining a plasma reactor chamber and a support for holding a workpiece inside the chamber, a non-planar inductive antenna adjacent the reactor chamber enclosure, the non-planar inductive antenna including inductive elements spatially distributed in a non-planar manner relative to a plane of the workpiece to compensate for a null in an RF inductive pattern of the antenna, and a plasma source RF power supply coupled to the non-planar inductive antenna. The planar inductive antenna may be symmetrical or non-symmetrical, although it preferably includes a solenoid winding such as a vertical stack of conductive windings. In a preferred embodiment, the windings are at a minimum radial distance from the axis of symmetry while in an alternative embodiment the windings are at a radial distance from the axis of symmetry which is a substantial fraction of a radius of the chamber.
    Type: Grant
    Filed: May 13, 1996
    Date of Patent: December 26, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth S. Collins, Michael Rice, John Trow, Douglas Buchberger, Craig A. Roderick
  • Patent number: 6165274
    Abstract: A plasma processing apparatus for plasma-processing a substrate arranged in a reaction chamber using a high frequency power supplied by a high frequency power introduction means, wherein said high frequency power introduction means comprises a cathode electrode and a conductor portion capable of transmitting a high frequency power to said cathode electrode, said conductor portion being penetrated a wall of said reaction chamber while said conductor portion being electrically isolated from said wall of said reaction chamber by means of an insulating material, at least a part of said insulating material comprising a porous ceramic material, wherein a fluid is introduced through said porous ceramic material to control the temperature of said cathode electrode and/or that of said conductor portion.
    Type: Grant
    Filed: November 2, 1998
    Date of Patent: December 26, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuyoshi Akiyama, Tatsuyuki Aoike, Toshiyasu Shirasuna, Kazuhiko Takada, Ryuji Okamura, Hitoshi Murayama
  • Patent number: 6161500
    Abstract: A method and apparatus for depositing a film by chemical vapor deposition comprises a showerhead for dispersing reactant gases into the processing space wherein the showerhead has a first space therein operable for receiving and dispersing the first reacting gas, and has a second space therein, generally isolated from the first space, and operable for receiving and dispersing the second reactant gas separate from the first gas dispersion for maintaining segregation of reactant gases and generally preventing premature mixture of the gases prior to their introduction into the processing space to prevent premature deposition in the system.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: December 19, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Stanislaw Kopacz, Douglas Arthur Webb, Gerrit Jan Leusink, Rene Emile LeBlanc, Michael S. Ameen, Joseph Todd Hillman, Robert F. Foster, Robert Clark Rowan, Jr.
  • Patent number: 6162709
    Abstract: A substrate processing system that includes a deposition chamber having a reaction zone, first and second electrodes, a mixed frequency RF power supply including a low frequency RF power source and a high frequency RF power source. The high frequency RF power supply provides enough power to form a plasma from a process gas introduced into the reaction zone and the low frequency RF power supply is configured to supply an asymmetrical waveform to either said first or second electrodes to bias the plasma toward the substrate.
    Type: Grant
    Filed: January 11, 2000
    Date of Patent: December 19, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Sebastien Raoux, Mandar Mudholkar
  • Patent number: 6161499
    Abstract: A method and apparatus for nucleation and growth of diamond by hot-filament DC plasma deposition. The apparatus uses a resistively heated filament array for dissociating hydrogen in the reactant gas. For two sided diamond growth, configurations of substrate-hot filament-grid-hot filament-substrate or substrate-hot filament-hot filament-substrate configuration are used. For the latter configuration, two independent arrays of filaments serve as both hot filament and grid, and AC or DC plasma is maintained between the filament arrays. For this and the other electrode configurations, the grid electrode is positively biased with respect to the hot filaments to maintain a plasma. The plasma potential gradient across the grid and the hot-filament draws ions from the plasma towards the filaments. To further increase deposition rates, the filament array is biased negatively with respect to the substrate holder so that a DC plasma is also maintained between the substrate and filament array.
    Type: Grant
    Filed: July 7, 1997
    Date of Patent: December 19, 2000
    Assignee: CVD Diamond Corporation
    Inventors: Biwu Sun, Leo W. M. Lau
  • Patent number: 6159333
    Abstract: An apparatus for processing substrates that is configured for a cleaning operation by loading a cleaning process wafer onto the susceptor before forming a cleaning plasma in the processing chamber. In one embodiment, a ceramic wafer is chosen to have a dielectric value sufficient to alter the electromagnetic field of the plasma, and spreads the plasma away from the susceptor during a cleaning operation, thus reducing damage to the susceptor. The plasma may be directed towards the walls of the chamber to reduce chamber cleaning time.
    Type: Grant
    Filed: October 8, 1998
    Date of Patent: December 12, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Anand Gupta, Srihari Ponnekanti, Gana A. Rimple, Laxman Murugesh
  • Patent number: 6159301
    Abstract: A substrate-holding apparatus for holding a semiconductor substrate in a semiconductor processor is characterized in that the apparatus includes a mount block made of, e.g., aluminum nitrate with a high-frequency electrode embedded therein and a heating block made of, e.g., an aluminum alloy with a heating body embedded therein. The mount block is tightly attached to the heating block by engaging the bottom surface of the mount block with the top surface of the heating block, for example, by using a latching mechanism.
    Type: Grant
    Filed: December 17, 1998
    Date of Patent: December 12, 2000
    Assignees: ASM Japan K.K., NHK Spring Co., Ltd.
    Inventors: Kiyoshi Sato, Mikio Shimizu, Toshihiko Hanamachi, Shinya Miyaji
  • Patent number: 6159867
    Abstract: Plasma enhanced chemical vapor deposition (PECVD) reactors and methods of effecting the same are described. In accordance with a preferred implementation, a reaction chamber includes first and second electrodes operably associated therewith. A single RF power generator is connected to an RF power splitter which splits the RF power and applies the split power to both the first and second electrodes. Preferably, power which is applied to both electrodes is in accordance with a power ratio as between electrodes which is other than a 1:1 ratio. In accordance with one preferred aspect, the reaction chamber comprises part of a parallel plate PECVD system. In accordance with another preferred aspect, the reaction chamber comprises part of an inductive coil PECVD system. The power ratio is preferably adjustable and can be varied. One manner of effecting a power ratio adjustment is to vary respective electrode surface areas.
    Type: Grant
    Filed: August 19, 1999
    Date of Patent: December 12, 2000
    Assignees: Micron Technology, Inc., Applied Materials, Inc.
    Inventors: Sujit Sharan, Gurtej S. Sandhu, Paul Smith, Mei Chang
  • Patent number: 6158382
    Abstract: A film-forming method by a plasma CVD process, comprising introducing a raw material gas into a reaction chamber containing a substrate positioned therein through a plurality of gas ejecting holes provided at a gas feed pipe and introducing a discharging energy into said reaction chamber to excite and decompose said film-forming raw material gas introduced into said reaction chamber whereby causing the formation of a deposited film on said substrate, characterized in that the introduction of said film-forming raw material gas into said reaction chamber is conducted by ejecting the film-forming raw material gas toward a member opposed to the substrate from each of right and left sides of the gas feed pipe through the gas ejecting holes of the gas feed pipe at a gas-ejecting angle (a) of 45.degree..ltoreq.(a)<90.degree. to a line which is passing through between said cylindrical substrate and each of said plurality of gas feed pipes.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: December 12, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshio Segi, Hiroyuki Katagiri, Yasuyoshi Takai
  • Patent number: 6159300
    Abstract: An apparatus for forming a non-single-crystal semiconductor thin film comprising a film deposition chamber having a film-forming space surrounded by a film deposition chamber wall and a beltlike substrate, and an external chamber surrounding the deposition chamber wall is provided. While the beltlike substrate is moved in a longitudinal direction, a film-forming gas is introduced through a gas supply device into the film-forming space and microwave energy is radiated from a microwave applicator into the film-forming space to induce a microwave plasma, and thereby form a non-single-crystal semiconductor thin film on a surface of the beltlike substrate. A cooling mechanism and a temperature-increasing mechanism are provided to cover a part of an outside surface of the deposition chamber wall. An apparatus for forming a non-single-crystal semiconductor thin film where the gas supply device comprises a gas manifold set apart from the deposition chamber wall is another embodiment.
    Type: Grant
    Filed: December 15, 1997
    Date of Patent: December 12, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Tadashi Hori, Shotaro Okabe, Akira Sakai, Yuzo Kohda, Takahiro Yajima
  • Patent number: 6155200
    Abstract: In an ECR plasma generator, radio frequency ranging from 3 to 300 MHz is applied from a radio frequency power supply to an electrode which is provided in a chamber having an exhaust system and which serves as a shower head for gas introduction, and power is supplied to a coil provided at the outer periphery of the chamber, so as to form a magnetic field an integer number of times as large as a resonant magnetic field corresponding to the applied radio frequency, parallel with the direction of an electric field and to generate ECR plasma in an atmosphere of the supplied process gas.
    Type: Grant
    Filed: July 2, 1998
    Date of Patent: December 5, 2000
    Assignees: Tokyo Electron Limited, Yasuhiro Horiike
    Inventors: Yasuhiro Horiike, Kohei Kawamura
  • Patent number: 6155201
    Abstract: For permitting increase in productivity and improvement in uniformity and reproducibility of characteristics of deposited films while maintaining good film characteristics, a plasma processing apparatus is constructed in such structure that a plurality of cylindrical substrates are set in a depressurizable reaction vessel and that a source gas supplied into the reaction vessel is decomposed by a high frequency power introduced from a high frequency power introducing means to generate a plasma to permit deposited film formation, etching, or surface modification on the cylindrical substrates, wherein the plurality of cylindrical substrates are placed at equal intervals on the same circumference and wherein the high frequency power introducing means is provided outside the placing circumference for the cylindrical substrates.
    Type: Grant
    Filed: September 22, 1998
    Date of Patent: December 5, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hitoshi Murayama, Toshiyasu Shirasuna, Ryuji Okamura, Kazuyoshi Akiyama, Takashi Ohtsuka, Kazuto Hosoi
  • Patent number: 6155198
    Abstract: The construction of a film on a wafer, which is placed in a processing chamber, may be carried out through the following steps. A layer of material is formed on the wafer, while the wafer is in the processing chamber. Next, the layer of material is oxidized, while the wafer is in the processing chamber. A semiconductor wafer processing chamber for carrying out such a construction in-situ may include a processing chamber, a showerhead, a wafer support and a rf signal means. The showerhead supplies gases into the processing chamber, while the wafer support supports a wafer in the processing chamber. The rf signal means is coupled to the showerhead and the wafer support for providing a first rf signal to the showerhead and a second rf signal to the wafer support.
    Type: Grant
    Filed: July 9, 1996
    Date of Patent: December 5, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Michael Danek, Marvin Liao, Eric Englhardt, Mei Chang, Yeh-Jen Kao, Dale DuBois, Alan F. Morrison
  • Patent number: 6155202
    Abstract: In a plasma processing apparatus, in a matching circuit intervening between a high-frequency power source and a plasma excitation electrode for achieving impedance matching between the high-frequency power source and the plasma excitation electrode, one of the two electrodes which form a tuning capacitor also serves as the plasma excitation electrode. Alternatively, in a plasma processing apparatus, the side wall of a housing made from an electrically conductive member and accommodating a matching circuit intervening between a high-frequency power source and a plasma excitation electrode for achieving impedance matching between the high-frequency power source and the plasma excitation electrode and a feeder for supplying high-frequency electric power from the high-frequency power source to the plasma excitation electrode through the matching circuit is formed not in parallel to the feeder.
    Type: Grant
    Filed: November 25, 1998
    Date of Patent: December 5, 2000
    Assignees: Alps Electric Co., Ltd., Frontec Incorporated, Tadahiro Ohmi
    Inventors: Akira Nakano, Sung Chul Kim, Koichi Fukuda, Yasuhiro Takeda, Yasuhiko Kasama, Tadahiro Ohmi, Shoichi Ono
  • Patent number: 6152071
    Abstract: A high frequency introducing means is provided which comprises a high frequency electrode having a shape of a bar or plate for generating plasma by high frequency power, and an adjustment mechanism for adjusting an absolute value of reactance between an end of the electrode opposite to a high frequency power introducing point of the electrode and a grounded portion. A plasma treatment apparatus and a plasma treatment method are also provided employing the above high frequency introducing means. A deposition film of high quality is formed stably and efficiently in an extremely uniform thickness and an extremely uniform quality at a high speed on a base member of a large area by adjusting the absolute value of the reactance.
    Type: Grant
    Filed: December 10, 1997
    Date of Patent: November 28, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuyoshi Akiyama, Atsushi Yamagami, Satoshi Takaki, Koji Teranishi
  • Patent number: 6149761
    Abstract: A method for detecting an etching endpoint and a plasma etching apparatus and a plasma etching system using such a device are disclosed, in which time series data of a signal corresponding to the amount of light of the plasma light generated during the plasma etching process are arithmetically processed, so that the change of light amount is corrected and an etching endpoint is detected from the time series data after the correction.
    Type: Grant
    Filed: December 28, 1999
    Date of Patent: November 21, 2000
    Assignee: Sumitomo Metal Industries Limited
    Inventors: Toshiya Miyazaki, Toshihiro Hayami, Tadao Nakatsuka, Hiroyuki Tanaka, Toshiyuki Nakamura
  • Patent number: 6149729
    Abstract: A film forming apparatus includes a chamber in which a thin film is formed on a semiconductor wafer by supplying a process gas, the interior of which is then cleaned by a cleaning gas, while the gas in the chamber is exhausted by a vacuum system.
    Type: Grant
    Filed: May 19, 1998
    Date of Patent: November 21, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Teruo Iwata, Kazuichi Hayashi, Yuichiro Fujikawa, Takashi Horiuchi
  • Patent number: 6148763
    Abstract: In a deposited film forming apparatus, at least part of the inner wall surfaces of a reactor or surfaces of structural component parts on which films are deposited is constituted of a porous ceramic material. This can prevent film come-off of deposited films on inner walls and structural component parts of the reactor as far as possible so that the spherical protuberances can be prevented from occurring and electrophotographic photosensitive members having a superior quality can be formed.
    Type: Grant
    Filed: October 29, 1998
    Date of Patent: November 21, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuyoshi Akiyama, Toshiyasu Shirasuna, Kazuhiko Takada, Ryuji Okamura, Hitoshi Murayama
  • Patent number: 6148765
    Abstract: An electrode assembly for a plasma reaction chamber wherein processing of a semiconductor substrate such as a single wafer can be carried out, a method of manufacture of the electrode assembly and a method of processing a semiconductor substrate with the assembly. The electrode assembly includes a support member such as a graphite ring, an electrode such as a silicon showerhead electrode in the form of a circuit disk of uniform thickness and an elastomeric joint between the support member and the electrode. The elastomeric joint allows movement between the support member and the electrode to compensate for thermal expansion as a result of temperature cycling of the electrode assembly. The elastomeric joint can include an electrically and/or thermally conductive filler and the elastomer can be a catalyst-cured polymer which is stable at high temperatures.
    Type: Grant
    Filed: September 9, 1999
    Date of Patent: November 21, 2000
    Assignee: Lam Research Corporation
    Inventors: John Lilleland, Jerome S. Hubacek, William S. Kennedy
  • Patent number: 6149985
    Abstract: A method and apparatus are taught for treating polymer webs for obtaining the proper surface characteristics to promote adhesion of photosensitive coating materials and/or layers typically coated thereon. The web is passed through a high-voltage sheath region or dark space of the plasma generated by a powered electrode residing in a discharge zone. The frequency of the driving voltage must be above a lower bound dictated by the properties of the polymer support and the plasma, and it must be below an upper bound beyond which the sheath voltages drop significantly and it is observed that the benefits of this approach diminish. The dark space is generated by a treatment electrode in a treatment zone. There is a counter electrode having a surface area in said treatment zone which is at least as great as the surface area of the treatment electrode. A power supply is included for driving the treatment electrode with an oscillating high voltage at a frequency less than about 2 MHz and greater than 1/t.sub.
    Type: Grant
    Filed: July 7, 1999
    Date of Patent: November 21, 2000
    Assignee: Eastman Kodak Company
    Inventors: Jeremy M. Grace, Louis J. Gerenser
  • Patent number: 6149730
    Abstract: In an apparatus for forming a film of a semiconductor device in which chemical vapor deposition is used to accumulate insulation films such as a carbon-compound film and a silicon-oxide or silicon-nitride film on a silicon substrate, it is possible to prevent the peel-off of the silicon-oxide film in the circumferential area of the silicon substrate.The apparatus includes a chamber including a holder to hold the substrate, a ring-shaped member to grasp the substrate in cooperation with the holder, and a reactive gas supplier to supply a predetermined type of reactive gas to the chamber. The member dimensionally has an inside diameter smaller than an outside diameter of the holder and an outside diameter larger than that of the holder. During the film forming process with the reactive gas, the member concentrically covers a circumferential region of a surface of the substrate.
    Type: Grant
    Filed: October 8, 1998
    Date of Patent: November 21, 2000
    Assignee: NEC Corporation
    Inventors: Yoshihisa Matsubara, Manabu Iguchi, Kazuhiko Endo
  • Patent number: 6149783
    Abstract: A vacuum treatment apparatus (FIG. 13) includes a vacuum recipient or chamber (3) for containing an atmosphere. A mechanism (50,52) for generating electrical charge carriers in the atmosphere is provided in the recipient, the electrical charge carriers being of the type that form electrically isolating material. The recipient also contains a work piece carrier arrangement (1) and at least two electroconductive surfaces (2a, 2b) which are mutually electrically isolated from each other. A DC power supply (8) is operationally connected to the electroconductive surfaces by respective electrical conductors with an inductor (L.sub.66) in one of the conductors. A parallel switching arrangement is connected between the electrical conductors to control a current path between the conductors.
    Type: Grant
    Filed: May 19, 1999
    Date of Patent: November 21, 2000
    Assignee: Balzers Aktiengesellschaft
    Inventors: Hans Signer, Eduard Kugler, Klaus Wellerdieck, Helmut Rudigier, Walter Haag
  • Patent number: 6145469
    Abstract: A plasma processing apparatus has a substrate holder, arranged in a reaction vessel which can be reduced in pressure, for placing a substrate to be processed thereon, means for feeding a process gas into the reaction vessel, and a cathode electrode for supplying a high-frequency wave power from a high-frequency wave power source to an interior of the reaction vessel through a matching circuit, and is characterized in that at least a part of the reaction vessel is constituted by a dielectric member, and the cathode electrode is arranged outside the reaction vessel, so that a plasma distribution in the reaction vessel is made uniform, and a uniform plasma process for a substrate to be processed is made possible. The plasma process includes CVD, sputtering, etching or ashing.
    Type: Grant
    Filed: May 9, 1997
    Date of Patent: November 14, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Koji Teranishi, Atsushi Yamagami, Satoshi Takaki
  • Patent number: 6142096
    Abstract: An electronic device manufacturing apparatus includes: a reaction chamber including a wall having a ground potential level; a reaction gas inlet for introducing a reaction gas into the reaction chamber; a high frequency power generator for generating a high frequency voltage for exciting the reaction gas into plasma state or dissociated state; a cathode electrode connected to the high frequency power generator; and a floating capacitance formed between a potential level of the cathode electrode and the ground potential level. An impedance adjusting capacitor is inserted so as to be in series with the floating capacitance. The impedance adjusting capacitor has a capacitance value less than that of the floating capacitance.
    Type: Grant
    Filed: May 15, 1997
    Date of Patent: November 7, 2000
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Osamu Sakai, Katsuhiko Nomoto
  • Patent number: 6143125
    Abstract: There is provided an apparatus for carrying out dry etching, including (a) an etching chamber, (b) a pair of electrodes disposed in the etching chamber in facing relation, an object to be etched being placed on one of the electrodes, (c) a plate mounted on the other of the electrodes, the plate being formed with a plurality through-holes, and (d) a pair of enclosures each mounted on each of the electrodes and extending towards the opponent to define a spatial space therebetween in which plasma is generated by introducing a reactive gas thereinto through the through-holes. The enclosures are designed to have a gap therebetween. Both the plate and the enclosures are made of material other than aluminum. The reactive gas is composed only of CF.sub.4. Since nothing other than the object is exposed to plasma, it is possible to prevent generation of particles.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: November 7, 2000
    Assignee: NEC Corporation
    Inventor: Hideyuki Shoji
  • Patent number: 6139700
    Abstract: A method and an apparatus of fabricating a metal interconnection in a contact hole of a semiconductor device reduces contact resistance and improves step coverage. A contact hole is opened in an interlayer insulating film formed on a semiconductor substrate. A conductive layer used as an ohmic contact layer is formed on the interlayer insulating film including the contact hole. An upper surface of the conductive layer is nitrided to form a protective layer. An ALD (atomic layer deposition)-metal barrier layer is formed on the protective layer. The resulting metal barrier layer has good step coverage and no impurities, and the protective layer prevents defects in the conductive layer caused by precursor impurities used during the formation of the metal barrier layer.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: October 31, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Bom Kang, Sang-In Lee
  • Patent number: 6138606
    Abstract: An ion source apparatus is disclosed in this invention. The ion source apparatus includes an anode having an interior space for containing a plasma and an opening into the space. The ion source apparatus further includes a hollow cathode within the space. The ion source apparatus further includes a dopant ion-source composed of compounds comprising element selected from a group of elements consisting of silicon and germanium, the dopant ion-source disposed next to the space. The ion source apparatus further includes a voltage means connected to the anode, the hollow cathode, and the dopant ion source for discharging a plasma into the space for bombarding the dopant ion source for generating a dopant ion compound. The ion source apparatus further includes an ion-beam extracting means for extracting the dopant ion compound through the opening. In an alternate preferred embodiment, the ion source apparatus employs an electron beam device to generate the dopant ion compound.
    Type: Grant
    Filed: April 13, 1998
    Date of Patent: October 31, 2000
    Assignee: Advanced Materials Engineering Research, Inc.
    Inventor: Peiching Ling
  • Patent number: 6140215
    Abstract: Method and apparatus are disclosed for low temperature deposition of CVD and PECVD films utilizing a gas-dispersing showerhead position within one inch of a rotating substrate. The showerhead is positioned a suitable distance below a gas-dispensing apparatus such as a steady stay flow of gas develops between the ring and showerhead. A cylindrical structure extends between the gas-dispersing ring and a showerhead to contain the gas over the showerhead yielding a small boundary layer over the substrate to ensure efficient uniform deposition of a film on a substrate surface. In the one embodiment of the present invention the showerhead is bias with RF energy such that it acts as an electrode to incite a plasma proximate with the substrate for PECVD. The cylinder is isolated from the showerhead such as by a quartz insulator ring to prevent ignition of a plasma within the cylinder, or alternatively, the cylinder is fabricated of quartz material.
    Type: Grant
    Filed: March 14, 1996
    Date of Patent: October 31, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Robert F. Foster, Joseph T. Hillman, Rikhit Arora
  • Patent number: 6137231
    Abstract: A constricted glow discharge chamber and method are disclosed. The polarity and geometry of the constricted glow discharge plasma source is set so that the contamination and energy of the ions discharged from the source are minimized. The several sources can be mounted in parallel and in series to provide a sustained ultra low source of ions in a plasma with contamination below practical detection limits. The source is suitable for applying films of nitrides such as gallium nitride and oxides such as tungsten oxide and for enriching other substances in material surfaces such as oxygen and water vapor, which are difficult process as plasma in any known devices and methods. The source can also be used to assist the deposition of films such as metal films by providing low-energy ions such as argon ions.
    Type: Grant
    Filed: September 10, 1996
    Date of Patent: October 24, 2000
    Assignee: The Regents of the University of California
    Inventors: Andre Anders, Simone Anders, Michael Dickinson, Michael Rubin, Nathan Newman
  • Patent number: 6135053
    Abstract: A film-forming method and apparatus by high frequency plasma CVD, characterized by using a specific high frequency power introduction means comprising at least an electrode for introducing a high frequency power into a deposition chamber containing a substrate therein and an insulating member which covers said electrode such that the surface of said electrode is isolated from glow discharge caused in said deposition chamber, said electrode being provided with a plurality of gas ejection holes for ejecting gas against an inner face of said insulating member, wherein the formation of a deposited film on the substrate in the deposition chamber is conducted while ejecting gas (inert gas or hydrogen gas) from the gas ejection holes of the electrode against the inner face of the insulating member.
    Type: Grant
    Filed: July 16, 1998
    Date of Patent: October 24, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ryuji Okamura
  • Patent number: 6135052
    Abstract: In a wafer temperature control method and a wafer temperature control device with which it is possible to raise the stability of the temperature of a wafer in a semiconductor manufacturing apparatus and the responsiveness of the temperature of the wafer to changes in a set wafer temperature and thereby obtain a higher quality product, the temperature of the wafer is controlled by both the flowrate of a coolant and the heat output of a heat source being controlled.
    Type: Grant
    Filed: February 26, 1998
    Date of Patent: October 24, 2000
    Assignee: Sony Corporation
    Inventors: Hitoshi Fujii, Shinsuke Hirano
  • Patent number: 6131533
    Abstract: A hard carbon film is formed over an inner surface of a guide bush by fixing an auxiliary electrode support member for supporting an auxiliary electrode of a jig for forming a film in a center bore of the guide bush by an auxiliary electrode insulation member, disposing an auxiliary electrode in alignment with the axis of the center bore so as to face the inner surface, disposing legs, and a first electrode plate, a second electrode plate, and the insulation member which are fixed to the legs are placed on the bottom of a vacuum vessel placing the guide bush on the first electrode plate contacted electrically with a power source, while the projection of the auxiliary electrode support member projecting out of the auxiliary electrode insulation member is contacted electrically with the second electrode plate.
    Type: Grant
    Filed: August 15, 1997
    Date of Patent: October 17, 2000
    Assignee: Citizen Watch Co., Ltd.
    Inventors: Osamu Sugiyama, Yukio Miya, Ryota Koike, Takashi Toida, Toshiichi Sekine
  • Patent number: 6132805
    Abstract: A shutter assembly located substantially within a thin-film processing chamber includes a plurality of articulatable components that are movable between open and closed positions within the chamber. The articulatable components are preferably arranged in the form of an iris to regulate a size of an opening centered along said central axis. The shutter assembly can be used to isolate transmissions between a process energy source such as a PVD target and a substrate or to limit a range of incidence angles at which the transmissions reach the substrate. The shutter apparatus of this invention does not add any area to the footprint of processing equipment, enables very fast shuttering between open and closed positions, and enables enhanced process control.
    Type: Grant
    Filed: October 20, 1998
    Date of Patent: October 17, 2000
    Assignee: CVC Products, Inc.
    Inventor: Mehrdad M. Moslehi
  • Patent number: 6129806
    Abstract: A plasma processing apparatus and method are provided which are capable of easily performing precise working of a fine pattern on a large sized sample having a diameter of 300 mm or larger, and also capable of improving selectivity during micro processing. The apparatus includes a vacuum processing chamber, a plasma generating arrangement including a pair of electrodes, a sample table for mounting a sample to be processed inside the vacuum processing chamber and also serving as one of the electrodes, and an evacuating means for evacuating the vacuum processing chamber. The apparatus further includes a high frequency electric power source for applying an electric power of VHF band from 50 MHz to 200 MHz between the pair of electrodes.
    Type: Grant
    Filed: February 28, 1997
    Date of Patent: October 10, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Tetsunori Kaji, Shinichi Tachi, Toru Otsubo, Katsuya Watanabe, Katsuhiko Mitani, Junichi Tanaka
  • Patent number: 6129808
    Abstract: A high density plasma processing chamber including an electrostatic chuck for holding a wafer, and consumable parts that are highly etch resistant, less susceptible to generating contamination and temperature controllable is disclosed. The consumable parts include a chamber liner having a lower support section and a wall that is configured to surround the electrostatic chuck. The consumable parts also include a liner support structure having a lower extension, a flexible wall, and an upper extension. The flexible wall is configured to surround an external surface of the wall of the chamber liner, and the liner support flexible wall is spaced apart from the wall of the chamber liner. The lower extension of the liner support is however, configured to be in direct thermal contact with the lower support section of the chamber liner. Additionally, a baffle ring is part of the consumable parts, and is configured to be assembled with and in thermal contact with the chamber liner and the liner support.
    Type: Grant
    Filed: September 25, 1998
    Date of Patent: October 10, 2000
    Assignee: LAM Research Corporation
    Inventors: Thomas E. Wicker, Robert A. Maraschin, William S. Kennedy
  • Patent number: 6126792
    Abstract: For the application of a scratch protection layer on plastic substrates, a plasma is produced by the plasma CVD method, away from the individual plastic substrate, in an excitation gas, and this excitation gas is supplied through a tube to the plastic substrate. Subsequently, an antireflection layer is applied by means of a gas flow sputter source. The apparatus provided for this has a plasma CVD chamber (1) and a gas flow sputter chamber (2), next to one another. The plastic substrates (7,8) to be coated are transported from the plasma CVD chamber (2) to the gas flow sputter chamber (1) with the aid of a transporting device (3), designed as a turning plate.
    Type: Grant
    Filed: July 30, 1999
    Date of Patent: October 3, 2000
    Assignee: Leybold Systems GmbH
    Inventors: Heinrich Grunwald, Michael Liehr
  • Patent number: 6125789
    Abstract: A method and apparatus for increasing the sensitivity of an in situ particle monitor. A light scattering technique, preferably using laser light, is employed to monitor particle concentrations within the processing chamber of a plasma-based substrate processing system. Particle concentrations are increased in the light field of the sensor by creating an electric or magnetic field in the processing chamber to concentrate the particles suspended therein.
    Type: Grant
    Filed: January 30, 1998
    Date of Patent: October 3, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Anand Gupta, Vijay Parkhe
  • Patent number: 6125788
    Abstract: The invention improves etch uniformity across a silcon wafer surface in an RF plasma etch reactor. In a first aspect of the invention, etch uniformity is enhanced by reducing the etchant species (e.g., Chlorine) ion and radical densities near the wafer edge periphery without a concomitant reduction over the wafer center, by diluting the etchant (Chlorine) with a diluent gas which practically does not etch Silicon (e.g., Hydrogen Bromide) near the wafer edge periphery. In a second aspect of the invention, etch rate uniformity is enhanced by more rapidly disassociating Chlorine molecules over the center of the wafer to increase the local etch rate, without a concomitant hastening of Chlorine dissociation near the wafer periphery, by the introduction of an inert gas over the wafer center.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: October 3, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Graham W. Hills, Yuh-Jia Su
  • Patent number: 6126778
    Abstract: Apparatus and method for providing a modulated-bias plasma are described. In particular, an RF source or collector includes one or more sources to provide differing driving frequencies or bias frequencies, respectively. These frequencies, over time, interfere with one another to produce beating at one or more controllable, infinitely variable beat frequencies. As a beat frequency has significantly fewer cycles per second than a driving or bias frequency, a modulated-bias plasma may be provided without turning power on and off as in conventional "pulsed" plasma systems. Beat frequencies facilitate modulation of the driving or bias frequencies, which may lie within a relatively narrow frequency band. Also, the use of a plurality of driving or bias frequencies facilitates use of more conventional RF sources or collectors owing to lower power requirements at each frequency. In accordance therewith, apparatus and method described may be employed for plasma etching and/or plasma enhanced vapor deposition.
    Type: Grant
    Filed: July 22, 1998
    Date of Patent: October 3, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Kevin G. Donohoe, Marvin F. Hagedorn
  • Patent number: 6126779
    Abstract: A plasma enhanced gas reactor including a reaction chamber having a pair of field-enhancing electrodes each of which has an axial passage through it by one of which a reactant gas is admitted to the reaction chamber, and by the other of which reaction products are removed from the reaction chamber.
    Type: Grant
    Filed: November 7, 1997
    Date of Patent: October 3, 2000
    Assignee: AEA Technology PLC
    Inventors: Robert Frew Gillespie, Stephen Ivor Hall, David Raybone, Fiona Winterbottom
  • Patent number: 6123805
    Abstract: A process chamber of a dry etching facility for manufacturing semiconductor devices uniformly forms the ion density of plasma over a wafer, and reduces the volume of the process chamber by installing a plurality of discharge openings in an electrode housing which supports the electrode plate of a lower electrode. The lower electrode is a discharge electrode which is constructed such that a plurality of discharge openings are symmetrically provided in the side wall of the electrode housing supporting the electrode plate on which a wafer is mounted, and the discharge openings form a discharge passage which is connected to a discharge pipe at a pipe connection opening.
    Type: Grant
    Filed: September 22, 1999
    Date of Patent: September 26, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-hoon Kim, Byung-chul Kim, Dong-hwan Ko
  • Patent number: 6120640
    Abstract: A plasma etch reactor having interior surfaces facing the plasma composed of boron carbide, preferably principally composed of B.sub.4 C. The boron carbide may be a bulk sintered body or may be a layer of boron carbide coated on a chamber part. The boron carbide coating may be applied by thermal spraying, such as plasma spraying, by chemical vapor deposition, or by other layer forming technique such as a surface converting reaction. The boron carbide is highly resistant to high-density plasma etchants such as BCl.sub.3. The plasma sprayed coating is advantageously applied to only a portion of an anodized aluminum wall. The boron carbide may be sprayed over the exposed portion of the aluminum over which the anodization has been removed. A band of the aluminum substrate at the transition between the anodization and the boron carbide is roughened prior to anodization so that the boron carbide sticks to the correspondingly roughened surface of the anodization.
    Type: Grant
    Filed: December 19, 1996
    Date of Patent: September 19, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Hong Shih, Nianci Han, Steve S. Y. Mak, Gerald Zheyao Yin
  • Patent number: 6116187
    Abstract: A thin film forming apparatus has a vacuum chamber as a film forming chamber, a plasma generating unit and an ion source. In the vacuum chamber, a substrate is placed and a thin film is formed on the substrate. The plasma generating unit decomposes a source gas introduced into the vacuum chamber to generate a plasma of the source gas near a film-forming surface of the substrate within the vacuum chamber. The ion source is provided around the vacuum chamber. The ion source produces ion beams that are drawn out to be directed substantially parallel to the film-forming surface of the substrate to irradiate the plasma.
    Type: Grant
    Filed: May 20, 1999
    Date of Patent: September 12, 2000
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Hiroshi Murakami, Takashi Mikami, Kiyoshi Ogata
  • Patent number: 6116185
    Abstract: The use of a gas injector including a dielectric material around the ports has an advantage when used with plasma enhanced chemical vapor deposition in that a plasma torch will not be formed at the gas injector and the gases will not dissociate prematurely. This adds to the quality of the coatings and allows the system to be used at higher amperage and thus improved line speeds. The use of a dielectric plug at the ports allows the ports to be easily serviced and replaced.
    Type: Grant
    Filed: May 1, 1996
    Date of Patent: September 12, 2000
    Inventors: James G. Rietzel, Christopher P. Woolley
  • Patent number: 6118218
    Abstract: A plasma treater incorporates a porous metallic layer in one of the electrodes. The porous layer is selected with pores of average size within one order of magnitude of the mean free path of the plasma gas at atmospheric pressure. The plasma gas is injected into the electrode at substantially atmospheric pressure and allowed to diffuse through the porous layer, thereby forming a uniform glow-discharge plasma. The film material to be treated is exposed to the plasma created between this electrode and a second electrode covered by a dielectric layer. Because of the micron size of the pores of the porous metal, each pore also produces a hollow cathode effect that facilitates the ionization of the plasma gas. As a result, a steady-state glow-discharge plasma is produced at atmospheric pressure and at power frequencies as low as 60 Hz.
    Type: Grant
    Filed: February 1, 1999
    Date of Patent: September 12, 2000
    Assignee: Sigma Technologies International, Inc.
    Inventors: Angelo Yializis, Shahid A. Pirzada, Wolfgang Decker
  • Patent number: 6112697
    Abstract: Plasma enhanced chemical vapor deposition (PECVD) reactors and methods of effecting the same are described. In a preferred implementation, a PECVD reactor includes a processing chamber having a first electrode therewithin. A second electrode is disposed within the chamber and is configured for supporting at least one semiconductor workpiece for processing. A first RF power source delivers RF power of a first frequency to the first electrode. A second RF power source delivers RF power of a second frequency to the second electrode. Preferably the first and second frequencies are different from one another, and even more preferably, the first frequency is greater than the second frequency. The preferred reactor includes a thermocouple which provides temperature information relative to one of the electrodes.
    Type: Grant
    Filed: February 19, 1998
    Date of Patent: September 5, 2000
    Assignees: Micron Technology, Inc., Applied Materials, Inc.
    Inventors: Sujit Sharan, Gurtej S. Sandhu, Paul Smith
  • Patent number: 6112695
    Abstract: A gas inlet, which also serves as a counter electrode, is located inside of a vacuum chamber made of an electrically insulating material. A container is mounted on a mandrel mounted on the gas inlet. The chamber is evacuated to a subatmospheric pressure. A process gas is then introduced into the container through the gas inlet. The process gas is ionized by coupling RF power to a main electrode located adjacent an exterior surface of the chamber and to the gas inlet which deposits a plasma enhanced chemical vapor deposition (PECVD) thin film onto the interior surface of the container.
    Type: Grant
    Filed: October 8, 1996
    Date of Patent: September 5, 2000
    Assignee: Nano Scale Surface Systems, Inc.
    Inventor: John T. Felts
  • Patent number: 6110541
    Abstract: The present invention relates to a CVD apparatus for highly textured diamond film formation and a method for forming a highly textured diamond film on the surface of a silicone substrate by generating a high density plasma so that each diamond film grain can have the same orientation as the substrate. The present inventors developed an improved chemical vapor deposition apparatus and a method for highly textured diamond film formation, on the ground that the nucleation density having a heteroepitaxy relation with a silicone substrate can be increased by modifying the substrate support and by generating a high density plasma right on the substrate while subjecting the whole substrate to the plasma. In accordance with the present invention, a diamond film which is close to a single crystal and has a heteroepitaxy relation with the crystalline orientation of a substrate can be formed in a simple manner.
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: August 29, 2000
    Assignee: Korea Advanced Institute of Science and Technology
    Inventors: Jai-Young Lee, Yoon-Kee Kim, Yoon-Jung Yong, Young-Soo Han
  • Patent number: 6110395
    Abstract: The present invention relates to a method and structure for controlling plasma uniformity in plasma processing applications. Electron thermal conductivity parallel and perpendicular to magnetic field lines differs by orders of magnitude for low magnetic fields (on the order of 10 gauss). This property allows the directing of heat flux by controlling the magnetic field configuration independent of ions since the effect of modest magnetic fields upon the transport of ions themselves is minimal. Heat is preferentially conducted along magnetic field lines with electron temperatures on the order of 0.1 to 1 eV/cm being sufficient to drive kilowatt-level heat fluxes across areas typical of plasma processing source dimensions.
    Type: Grant
    Filed: August 26, 1997
    Date of Patent: August 29, 2000
    Assignee: Trikon Technologies, Inc.
    Inventor: Gerald W. Gibson, Jr.
  • Patent number: 6110322
    Abstract: A pedestal heating system provided for heating a pedestal disposed in the processing chamber of a substrate processing system. A pedestal heating system according to the present invention includes: a heater power supply, a transformer, coupled to the heater power supply, a heater element coupled to the transformer, and an RF ground electrode. The transformer is configured to reduce leakage current from the heater element to various elements of the substrate processing system by localizing current leakage loops. The heater element and RF ground electrode are disposed within the pedestal. Preferably, the transformer is simply an isolation transformer.
    Type: Grant
    Filed: March 6, 1998
    Date of Patent: August 29, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Hong Bee Teoh, James Jin-Long Chen, Cuong C. Nguyen, Hanh D. Nguyen