Multiple Gas Energizing Means Associated With One Deposition Site (i.e., Excluding Substrate Heater As An Energizing Means) Patents (Class 118/723MP)
  • Patent number: 6158382
    Abstract: A film-forming method by a plasma CVD process, comprising introducing a raw material gas into a reaction chamber containing a substrate positioned therein through a plurality of gas ejecting holes provided at a gas feed pipe and introducing a discharging energy into said reaction chamber to excite and decompose said film-forming raw material gas introduced into said reaction chamber whereby causing the formation of a deposited film on said substrate, characterized in that the introduction of said film-forming raw material gas into said reaction chamber is conducted by ejecting the film-forming raw material gas toward a member opposed to the substrate from each of right and left sides of the gas feed pipe through the gas ejecting holes of the gas feed pipe at a gas-ejecting angle (a) of 45.degree..ltoreq.(a)<90.degree. to a line which is passing through between said cylindrical substrate and each of said plurality of gas feed pipes.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: December 12, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yoshio Segi, Hiroyuki Katagiri, Yasuyoshi Takai
  • Patent number: 6160350
    Abstract: Around hearths 30a and 30b placed inside a vacuum chamber 11, auxiliary hearths 31a and 31b with annular permanent magnets included therein are arranged. Orientations of magnetic poles of annular permanent magnets 21a and 21b provided in two adjacent plasma guns 1A and 2B, orientations of magnetic poles of two adjacent electromagnetic coils 22a and 22b, orientations of two adjacent steering coils 24a and 24b, and orientations of magnetic poles of the two adjacent annular permanent magnets included in the two hearths are reversed from each other.
    Type: Grant
    Filed: June 28, 1999
    Date of Patent: December 12, 2000
    Assignee: Sumitomo Heavy Industries, Ltd.
    Inventors: Toshiyuki Sakemi, Masaru Tanaka
  • Patent number: 6152071
    Abstract: A high frequency introducing means is provided which comprises a high frequency electrode having a shape of a bar or plate for generating plasma by high frequency power, and an adjustment mechanism for adjusting an absolute value of reactance between an end of the electrode opposite to a high frequency power introducing point of the electrode and a grounded portion. A plasma treatment apparatus and a plasma treatment method are also provided employing the above high frequency introducing means. A deposition film of high quality is formed stably and efficiently in an extremely uniform thickness and an extremely uniform quality at a high speed on a base member of a large area by adjusting the absolute value of the reactance.
    Type: Grant
    Filed: December 10, 1997
    Date of Patent: November 28, 2000
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kazuyoshi Akiyama, Atsushi Yamagami, Satoshi Takaki, Koji Teranishi
  • Patent number: 6152070
    Abstract: The present invention provides an apparatus for vacuum processing generally comprising an enclosure having a plurality of isolated chambers formed therein, a gas distribution assembly disposed in each processing chamber, a gas source connected to the plurality of isolated chambers, and a power supply connected to each gas distribution assembly.
    Type: Grant
    Filed: November 18, 1996
    Date of Patent: November 28, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Kevin Fairbairn, Jessica Barzilai, Hari K. Ponnekanti, W. N. (Nick) Taylor
  • Patent number: 6139700
    Abstract: A method and an apparatus of fabricating a metal interconnection in a contact hole of a semiconductor device reduces contact resistance and improves step coverage. A contact hole is opened in an interlayer insulating film formed on a semiconductor substrate. A conductive layer used as an ohmic contact layer is formed on the interlayer insulating film including the contact hole. An upper surface of the conductive layer is nitrided to form a protective layer. An ALD (atomic layer deposition)-metal barrier layer is formed on the protective layer. The resulting metal barrier layer has good step coverage and no impurities, and the protective layer prevents defects in the conductive layer caused by precursor impurities used during the formation of the metal barrier layer.
    Type: Grant
    Filed: September 30, 1998
    Date of Patent: October 31, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang-Bom Kang, Sang-In Lee
  • Patent number: 6125788
    Abstract: The invention improves etch uniformity across a silcon wafer surface in an RF plasma etch reactor. In a first aspect of the invention, etch uniformity is enhanced by reducing the etchant species (e.g., Chlorine) ion and radical densities near the wafer edge periphery without a concomitant reduction over the wafer center, by diluting the etchant (Chlorine) with a diluent gas which practically does not etch Silicon (e.g., Hydrogen Bromide) near the wafer edge periphery. In a second aspect of the invention, etch rate uniformity is enhanced by more rapidly disassociating Chlorine molecules over the center of the wafer to increase the local etch rate, without a concomitant hastening of Chlorine dissociation near the wafer periphery, by the introduction of an inert gas over the wafer center.
    Type: Grant
    Filed: December 12, 1997
    Date of Patent: October 3, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Graham W. Hills, Yuh-Jia Su
  • Patent number: 6120660
    Abstract: A plasma treatment system (200) for implantation with a novel susceptor with a silicon coating (203). The system (200) has a variety of elements such as a chamber, which can have a silicon coating formed thereon, in which a plasma is generated in the chamber. The system (200) also has a susceptor disposed in the chamber to support a silicon substrate. The silicon coating reduces non-silicon impurities that may attach to the silicon substrate. The system (200) also includes a silicon liner, which is used to line inner portions of the chamber walls.
    Type: Grant
    Filed: December 18, 1998
    Date of Patent: September 19, 2000
    Assignee: Silicon Genesis Corporation
    Inventors: Paul K. Chu, Chung Chan
  • Patent number: 6116185
    Abstract: The use of a gas injector including a dielectric material around the ports has an advantage when used with plasma enhanced chemical vapor deposition in that a plasma torch will not be formed at the gas injector and the gases will not dissociate prematurely. This adds to the quality of the coatings and allows the system to be used at higher amperage and thus improved line speeds. The use of a dielectric plug at the ports allows the ports to be easily serviced and replaced.
    Type: Grant
    Filed: May 1, 1996
    Date of Patent: September 12, 2000
    Inventors: James G. Rietzel, Christopher P. Woolley
  • Patent number: 6110540
    Abstract: A gas purged counter-electrode prevents the counter-electrode from being covered with dielectric material by flowing gas past a surface of a metal element. The gas purged counter-electrode produces a relatively high-density plasma which effectively acts as the counter-electrode for a coating system. The gas purged counter-electrodes can be used with PECVD or sputtering systems.
    Type: Grant
    Filed: July 12, 1996
    Date of Patent: August 29, 2000
    Assignee: The BOC Group, Inc.
    Inventors: Joseph Countrywood, Sohrab Zarrabian, Abraham I. Belkind, Charlie Sherwood, Frank Jansen
  • Patent number: 6101972
    Abstract: A substrate processing system includes a processing chamber, a substrate holder positioned in the chamber, a gas source for supplying a process gas to the chamber, at least one ion source located in the chamber, and a power source for energizing the ion source by positively biasing the anode and negatively biasing the cathode, the bias in each instance being relative to the chamber. The ion source ionizes the process gas producing ions for processing a substrate disposed on a substrate holder in the chamber. One embodiment includes two such ion sources. In this case, the power source energizes the first and second anodes and the cathodes in a time multiplexed manner, such that only one of the first or second ion sources is energized at any time and interactions between ion sources are eliminated.
    Type: Grant
    Filed: January 22, 1999
    Date of Patent: August 15, 2000
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, James H. Rogers, Jun Xie
  • Patent number: 6095085
    Abstract: The present invention provides a plasma processing system comprising a remote plasma activation region for formation of active gas species, a transparent transfer tube coupled between the remote activation region and a semiconductor processing chamber, and a source of photo energy for maintaining activation of the active species during transfer from the remote plasma activation region to the processing chamber. The source of photo energy preferably includes an array of UV lamps. Additional UV lamps may also be used to further sustain active species and assist plasma processes by providing additional in-situ energy through a transparent window of the processing chamber.
    Type: Grant
    Filed: August 20, 1998
    Date of Patent: August 1, 2000
    Assignee: Micron Technology, Inc.
    Inventor: Vishnu K. Agarwal
  • Patent number: 6090247
    Abstract: The current invention relates to the provision of apparatus for depositing layers of material onto substrates (2) mounted on a carrier (4) all provided in a vacuum chamber (6) wherein the material is deposited by sputtering of targets of the material from activated magnetrons (7, 7') and the deposited material is reacted by providing a reaction producing means (9) which allows the coating material on the substrates to be conditioned to have the required characteristics. The invention provides that any, or any combination, of the material depositing means and/or reaction producing means are formed from either two magnetrons or a magnetron and counter electrode arrangement which are powered by an alternating voltage power supply which allows a reduction in the occurence of arcing and thereby the dimensions of the apparatus in comparison to conventional apparatus can be substantially reduced.
    Type: Grant
    Filed: November 17, 1995
    Date of Patent: July 18, 2000
    Assignee: Applied Vision Limited
    Inventors: Norman Henry White, Allen Robert Waugh, Alarie Graham Spencer, John Michael Walls, Hussein J'Afer
  • Patent number: 6089184
    Abstract: The present invention provides a CVD apparatus and a CVD method for use in forming an Al/Cu multilayered film. The Al/Cu multilayered film is formed in the CVD apparatus comprising a chamber for placing a semiconductor wafer W, a susceptor for mounting the semiconductor wafer W thereon, an Al raw material supply system for introducing a gasified Al raw material into the chamber and a Cu raw material supply system for introducing a gasified Cu raw material into the chamber. The Al/Cu multilayered film is formed by repeating a series of steps consisting of introducing the Al raw material gas into the chamber, depositing the Al film on the semiconductor wafer W by a CVD method, followed by generating a plasma in the chamber in which the Cu raw material gas has been introduced and depositing the Cu film on the semiconductor wafer W by a CVD method. The Al/Cu multilayered film thus obtained is subjected to a heating treatment (annealing), thereby forming a desired Al/Cu multilayered film.
    Type: Grant
    Filed: June 5, 1998
    Date of Patent: July 18, 2000
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Kaizuka, Takashi Horiuchi, Masami Mizukami, Takashi Mochizuki, Yumiko Kawano, Hideaki Yamasaki
  • Patent number: 6073578
    Abstract: The object of the present invention is to provide a RF induction plasma source generating apparatus which generates a stabilized plasma and sustains the stabilized plasma by maintaining the plasma pressure from several hundreds Torr to several thousands Torr with attachment a buffer nozzle cap, upper metallic blocking films and lower metallic blocking films to a nozzle cap, plasma tube and RF induction coils, respectively for separating the plasma source generating apparatus from the chamber.
    Type: Grant
    Filed: December 4, 1998
    Date of Patent: June 13, 2000
    Assignee: Electronics and Telecommunications Research Insitute
    Inventors: Kyu-Hwan Shim, Mun-Cheol Paek, Kyoung-Ik Cho
  • Patent number: 6071572
    Abstract: In a vapor deposition chamber which holds a substrate for processing, a method including the steps of forming a layer of material on the surface of the substrate, wherein the layer of material is made of Ti atoms; remotely activating a source gas containing nitrogen so as to produce activated nitrogen gas species; and while forming the layer of material on the substrate, injecting the activated nitrogen species into the processing chamber to increase the population of activated nitrogen species that is incorporated into the layer of material that is being formed.
    Type: Grant
    Filed: October 15, 1996
    Date of Patent: June 6, 2000
    Assignee: Applied Materials, Inc.
    Inventors: Roderick C. Mosely, Jim Van Gogh, Karl A. Littau
  • Patent number: 6055927
    Abstract: An apparatus and method for reducing the production of white powder in a process chamber used for depositing silicon nitride. Steps of the method include heating at least a portion of a wall of the process chamber; providing a liner covering a substantial portion of a wall of the process chamber; providing a remote chamber connected to the interior of the process chamber; causing a plasma of cleaning gas in the remote chamber; and flowing a portion of the plasma of cleaning gas into the process chamber.
    Type: Grant
    Filed: January 14, 1997
    Date of Patent: May 2, 2000
    Assignee: Applied Komatsu Technology, Inc.
    Inventors: Quanyuan Shang, Robert McCormick Robertson, Kam S. Law, Dan Maydan
  • Patent number: 6024045
    Abstract: A method for treating the surface of a semiconductor layer includes the step of removing an oxide from the surface of a semiconductor layer by adding fluorine or fluoride to hydrogen radicals separately from plasma atmosphere and thereafter exposing the semiconductor layer to the mixed gas and hydrogen-terminating the surface.
    Type: Grant
    Filed: October 26, 1998
    Date of Patent: February 15, 2000
    Assignee: Fujitsu Limited
    Inventors: Jun Kikuchi, Shuzo Fujimura, Masao Iga
  • Patent number: 6020570
    Abstract: A plasma is supplied from a plasma source to a space between an upper electrode plate and a lower electrode plate disposed opposite to and in parallel with the upper electrode plate when producing a plasma in the space between the electrode plates for plasma processing by applying radio-frequency power to the electrode plates. The plasma source produce a plasma by inductively coupled discharge, radio-frequency discharge or microwave discharge. A plasma processing apparatus is obtained which is capable of producing a parallel-plate plasma by discharge in a space of a relatively low pressure and is capable of processing a large diameter workpiece uniformly at a high processing rate.
    Type: Grant
    Filed: January 7, 1998
    Date of Patent: February 1, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Masakazu Taki, Hiroki Ootera, Tatsuo Oomori, Kazuyasu Nishikawa, Kenji Shintani
  • Patent number: 6001736
    Abstract: An insulating layer is provided on a semiconductor substrate, a contact hole is formed in the insulating layer, and an underlying metal film is provided on a whole surface of the substrate including inner walls of the contact hole. A surface condition of the underlying metal film is adjusted by a hydrogen plasma treatment. By the hydrogen plasma treatment, a surface of the underlying metal film is hydrogenated and is sputter-etched, so that a disordered film and contaminants adsorbed on the surface of the underlying metal film are removed. Next, aluminum is deposited on the underlying metal film by a chemical vapor deposition process using an organic aluminum compound such as DMAH. The contact hole can be effectively filled with aluminum.
    Type: Grant
    Filed: March 4, 1996
    Date of Patent: December 14, 1999
    Assignees: Kawasaki Steel Corporation, Tokyo Electron Limited
    Inventors: Eiichi Kondo, Nobuyuki Takeyasu, Tomohiro Ohta, Yumiko Kawano, Takeshi Kaizuka, Shinpei Jinnouchi
  • Patent number: 5993622
    Abstract: A crucible (4) in a vacuum chamber (3) holds material to be evaporated, such as a metal or metal oxide or a mixture of a metal and a metal oxide, and a coating roll (6) guides a film web (8) a certain distance away from the material to be evaporated. A chamber (9, 10) is provided on each side of the coating roll (6) which carries the film web (8) past the crucible (4), a magnetron cathode (11, 12) connected to a medium-frequency source (19) being provided in each chamber. Each of the two chambers (9, 10) is connected by its own channel (13, 14) to a coating zone (20) directly between the coating roll (6) and the crucible (4), and each chamber (9, 10) is connected by a pressure line (21, 22) to a source (23, 24) of process gas.
    Type: Grant
    Filed: October 28, 1996
    Date of Patent: November 30, 1999
    Assignee: Balzers und Leybold Deutschland Holding
    Inventors: Joachim Szczyrbowski, Gotz Teschner, Gerhard Steiniger
  • Patent number: 5980687
    Abstract: A plasma process apparatus includes first and second electrodes or susceptors located in a process container with a space interposed therebetween, first and second electrodes being disposed to support a semiconductor wafer such that the wafers are opposed to each other through a plasma a generating region. A high frequency voltages are applied to the first and second electrodes to supply a high frequency power to the plasma generating region, and a rotating magnetic field is generated in the plasma generating region, so that the high frequency power and the rotating magnetic field generate plasma of a process gas in the plasma generating region. Compensating-process-gas supply mechanism is provided for supplying a compensating process gas to part of the plasma generating region in synchronism with the rotation of the rotating magnetic field to compensate nonuniformity in the density of plasma generated in the plasma generating region.
    Type: Grant
    Filed: April 27, 1998
    Date of Patent: November 9, 1999
    Assignee: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Patent number: 5975012
    Abstract: A deposition apparatus including a deposition chamber containing therein a holder for holding a substrate and an electrode holding a sputtering target, a device for applying electrical power to the electrode, a gas supplying device for supplying gas to the deposition chamber, a evacuating device for evacuating the deposition chamber, and a microwave supplying device disposed around the deposition chamber. By virtue of such a construction, the deposition apparatus allows the target to be used with high efficiency and the ions to be maintained at a high density and low energy.
    Type: Grant
    Filed: October 25, 1996
    Date of Patent: November 2, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Senichi Hayashi, Nobumasa Suzuki
  • Patent number: 5976259
    Abstract: An improved semiconductor device manufacturing system and method is shown. In the system, undesirable sputtering effect can be averted by virtue of a combination of an ECR system and a CVD system. Prior to the deposition according to the above combination, a sub-layer can be pre-formed on a substrate in a reaction chamber and transported to another chamber in which deposition is made according to the combination without making contact with air, so that a junction thus formed has good characteristics.
    Type: Grant
    Filed: November 9, 1995
    Date of Patent: November 2, 1999
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shunpei Yamazaki
  • Patent number: 5976261
    Abstract: A method and apparatus for multi-zone injection apparatus of multiple process gases onto a work piece during manufacture. The multi-zone injection apparatus uses a gas injection plate with multiple injection zones to deliver the multiple process gases into the chamber for deposition onto the work piece (for example, a silicon wafer). The gas showerhead separates the multiple process in a manner that avoids premixing the process gases, thereby minimizing gas-phase nucleation and particulate generation. The showerhead also allows real-time independent control over the gas flow rates in N channels to achieve deposition uniformity. Different gases can be configured in adjacent channels to provide M zones of multi-gas radial control.
    Type: Grant
    Filed: July 11, 1996
    Date of Patent: November 2, 1999
    Assignee: CVC Products, Inc.
    Inventors: Mehrdad M. Moslehi, Yong Jin Lee, Ahmad Kermani
  • Patent number: 5968274
    Abstract: The present invention aims to provide a continuous forming method and apparatus for functional deposited films having excellent characteristics while preventing any mutual mixture of gases between film forming chambers having different pressures, wherein semiconductor layers of desired conductivity type are deposited on a strip-like substrate within a plurality of film forming chambers, by plasma CVD, while the strip-like substrate is moved continuously in a longitudinal direction thereof through the plurality of film forming chambers connected via gas gates having means for introducing a scavenging gas into a slit-like separation passage, characterized in that at least one of the gas gates connecting the i-type layer film forming chamber for forming the semiconductor junction and the n- or p-type layer film forming chamber having higher pressure than the i-type layer film forming chamber has the scavenging gas introducing position disposed on the n- or p-type layer film forming chamber side off from the cent
    Type: Grant
    Filed: November 20, 1996
    Date of Patent: October 19, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventors: Yasushi Fujioka, Shotaro Okabe, Masahiro Kanai, Takehito Yoshino, Akira Sakai, Tadashi Hori
  • Patent number: 5968276
    Abstract: The present invention provides a method and apparatus for improving thermal management of gas being delivered to a chemical vapor deposition chamber. Thermal management is accomplished using a heat transfer fluid in thermal communication with the deposition gas passageways delivering the gases to the chamber for deposition. The gas injection manifold includes gas passageways and coolant liquid passageways, wherein the gas passageways extend through a constant voltage gradient gas feedthrough and the coolant liquid passageways extend through a gas input manifold coupled to the inlet end of the constant voltage gradient gas feedthrough. This arrangement provides for increase coolant liquid flow and allows maintenance or disassembly of the constant voltage gradient gas feedthrough without breaking the seal on the coolant liquid system.
    Type: Grant
    Filed: July 11, 1997
    Date of Patent: October 19, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Lawrence Lei, Son Trinh, Mark Johnson
  • Patent number: 5961773
    Abstract: A plasma processing apparatus is provided with at least one waveguide portion for introducing microwaves, an electron heating space chamber formed on a downstream side with respect to a dielectric body in the waveguide portion, and a plasma generating space chamber coupled with the electron heating space chamber. A first static magnetic field generating device surrounds the electron heating space chamber using permanent magnets, producing a strong magnetic field exceeding an electron cyclotron resonance magnetic field strength along a propagation direction of the microwave in the electron heating space chamber and in a microwave leading-out portion of the dielectric body, and forming a cusped magnetic field.
    Type: Grant
    Filed: March 25, 1997
    Date of Patent: October 5, 1999
    Assignee: Hitachi, Ltd.
    Inventors: Satoshi Ichimura, Tadashi Sato, Isao Hashimoto
  • Patent number: 5961726
    Abstract: The deposited film forming apparatus of the present invention capable of forming a deposited film having a small number of structural defects and a light-receiving member for electrophotography for forming a light-receiving member for electrophotography having excellent image characteristics, which comprises means for supplying a source gas into a reaction vessel capable of reducing a pressure in which said substrate is arranged, and high-frequency power supply means for supplying a high-frequency power into said reaction vessel in which said substrate is arranged, the source gas being decomposed by the high-frequency power to be able to form a deposited film on the substrate, wherein a supply portion of said high-frequency power supply means is constituted by a plurality of members.
    Type: Grant
    Filed: December 22, 1995
    Date of Patent: October 5, 1999
    Assignee: Canon Kabushiki Kaisha
    Inventor: Tatsuyuki Aoike
  • Patent number: 5948485
    Abstract: A plasma generating gas and a reactive gas are fed into a vacuum container. A magnetic field and microwaves for plasma generation are applied to the vacuum container, whereupon plasma is generated by ECR, and whereupon, for example, an SiO.sub.2 or SiOF film is formed on aluminum wiring. In the initial phase of film deposition, the level of the radio-frequency power for plasma lead-in applied to the stage is adjusted, for example, to zero (first value includes zero) in advance. Then, after the SiO.sub.2 or SiOF film has been deposited to a thickness of tens of nanometers, for example, the radio-frequency power for plasma lead-in is adjusted to a normal power level (second value) and applied to the stage. Thereupon, an intensive anisotropic plasma is generated, and a potential distribution corresponding to the self-bias is formed in the plane direction of the wafer. Since the thin SiO.sub.
    Type: Grant
    Filed: April 2, 1996
    Date of Patent: September 7, 1999
    Assignee: Tokyo Electron Limited
    Inventors: Hideaki Amano, Genichi Katagiri, Makoto Toraguchi
  • Patent number: 5942039
    Abstract: An electrically activated focus ring (90) for plasma processing a substrate (25) in a plasma zone comprises a dielectric barrier (92) with a plasma focusing surface (95) for focusing the plasma onto the substrate surface, and an opposing surface (98). The focus ring (90) comprises an electrical conductor element (100) abutting at least a portion of the opposing surface (98) of the dielectric barrier (92). The conductor element (100) is electrically isolated from the plasma and capable of being electrically charged to attract the plasma to reduce formation of deposits on the plasma focusing surface (95) of the dielectric barrier (92).
    Type: Grant
    Filed: May 1, 1997
    Date of Patent: August 24, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Arnold Kholodenko, Steve S.Y. Mak
  • Patent number: 5935455
    Abstract: A method for excitation of a plasma, characterized in that it comprises the step of subjecting a gas to an electric field generated by an electrode system comprising n electrodes, n being an integer greater than or equal to 3, preferably between 3 and 30, each of the n electrodes being connected to one of the following AC voltages: ##EQU1## where: f is a frequency in the range of 10 to 10000 Hz, preferably 30 to 200 Hz, more preferably 50 to 60 Hz, U.sub.0 is a voltage in the range of 50 to 10000 V,at least one electrode being connected to U.sub.r, at least one electrode being connected to Us and at least one electrode being connected to U.sub.t. The invention also concerns an electrode system for carrying out the method.
    Type: Grant
    Filed: May 1, 1996
    Date of Patent: August 10, 1999
    Assignee: NKT Research Center A/S
    Inventor: Kristian Glejb.o slashed.l
  • Patent number: 5935391
    Abstract: A rod-like electrode is disposed in a vacuum container, a ring-like electrode is disposed around the rod-like electrode, a tube to be processed is disposed such that the tube is substantially continuous to the ring-like electrode, an interior of the vacuum container is set to a predetermined degree of vacuum for deposition, a gas is introduced into a space between the electrodes, an electric power for forming plasma from the gas is applied while applying a magnetic field, and the plasma produced thereby is supplied into the tube. If the deposition material gas is used, the film is formed on the inner peripheral surface of the tube. If the plasma source gas for sputtering is used, a sputtering voltage is applied to a sputtering target disposed inside the tube, so that the film is formed on the inner peripheral surface of the tube by sputtering the target with ions in the plasma.
    Type: Grant
    Filed: January 31, 1995
    Date of Patent: August 10, 1999
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Hajime Kuwahara, Hiroshi Fujiyama
  • Patent number: 5916455
    Abstract: A low pressure plasma ignition method and apparatus includes an ignition cylinder which passes through an anode of a vacuum chamber, where the outlet of the ignition cylinder forms a nozzle. A coil is arranged around the cylinder and a plasma-generating gas supply pipe passes through an upper part of the cylinder. A plasma-generating gas, such as Argon gas, is supplied to the ignition cylinder in this structure, such that a high density plasma is formed in the ignition cylinder that is expelled into the vacuum chamber while the pressure is reduced through the nozzle. In the vacuum chamber, the expelled plasma becomes a seed plasma, such that a low pressure plasma is readily generated in the vacuum chamber.
    Type: Grant
    Filed: July 8, 1996
    Date of Patent: June 29, 1999
    Assignee: Applied Materials, Inc.
    Inventor: Hiromi Kumagai
  • Patent number: 5914000
    Abstract: A polysilicon film which realizes an excellent ohmic contact is obtained. A board 4 to which a silicon substrate 3 is fixed is disposed within a chamber 1. An SiH.sub.4 gas, an N.sub.2 gas, a TEOS gas and an anhydrous HF gas are introduced into the chamber 1, through valves 8a, 8b, 8c and 8d, respectively. By means of a pump 7 through a valve 6, the air inside the chamber 1 is exhausted. The chamber 1 is heated up by a heater 2. First, the N.sub.2 gas, the TEOS gas and the anhydrous HF gas are introduced into the chamber 1, to remove a silicon natural oxidation film 34 which is formed on the silicon substrate 3. Next, after exhausting the air inside the chamber 1, the SiH.sub.4 and the N.sub.2 gas are introduced into the chamber 1, to form a polysilicon film 35 on the silicon substrate 3.
    Type: Grant
    Filed: May 22, 1996
    Date of Patent: June 22, 1999
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Hironari Takahashi
  • Patent number: 5907221
    Abstract: An inductively coupled plasma reactor for processing a substrate has an inductively coupled coil antenna including plural inductive antenna loops which are electrically separated from one another and independently connected to separately controllable plasma source RF power supplies. The RF power level in each independent antenna loop is separately programmed and instantly changeable to provide a perfectly uniform plasma ion density distribution across the entire substrate surface under a large range of plasma processing conditions, such as different process gases or gas mixtures. In a preferred embodiment, there are as many separately controllable RF power supplies as there are independent antenna loops, and all the separately controllable power supplies receive their RF power from a commonly shared RF generator.
    Type: Grant
    Filed: August 16, 1995
    Date of Patent: May 25, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Arthur H. Sato, Xue-Yu Qian
  • Patent number: 5879575
    Abstract: A method for simultaneously processing a workpiece using a plasma and cleaning the reactor in which processing takes place is disclosed. The plasma generated in the reactor performs simultaneous workpiece processing and reactor cleaning. Reactor cleaning may be accomplished by directing a portion of the plasma at an inner surface of the reactor such as by a power source auxiliary to that used to produce the processing plasma. An apparatus for carrying out a method for simultaneously processing a workpiece with a plasma and cleaning a reactor of etch residues generated from processing is disclosed.
    Type: Grant
    Filed: November 21, 1997
    Date of Patent: March 9, 1999
    Assignee: Applied Materials, Inc.
    Inventors: Avi Tepman, Yan Ye
  • Patent number: 5858162
    Abstract: A plasma processing apparatus including a chamber, a device for exhausting the chamber, an electrode, which is provided within the chamber, for mounting a workpiece to be processed, a device for generating a bias electric field near a wall surface of the chamber, a device for generating a magnetic field substantially parallel to the chamber wall to thereby generate a magnetron discharge by the interaction between the bias electric field and the magnetic field, and a device for providing a high frequency into the chamber.
    Type: Grant
    Filed: June 18, 1997
    Date of Patent: January 12, 1999
    Assignee: Sony Corporation
    Inventor: Shinji Kubota
  • Patent number: 5851600
    Abstract: Plasma processing gas is introduced into an upper portion of a processing vessel and a film-formation gas is simultaneously introduced into the vicinity of a substrate to be processed. The plasma processing gas is ionized to form a first plasma and any of the plasma processing gas that has temporarily recombined in locations close to the substrate to be processed is re-ionized as a second plasma. As a result, the density of etchant ions used for cutting away overhangs around the openings of grooves can be increased. In other words, the number of etchant ions can be increased. This makes it possible to reduce the bias voltage applied to the substrate to be processed, preventing damage thereto.
    Type: Grant
    Filed: October 17, 1997
    Date of Patent: December 22, 1998
    Assignee: Tokyo Electron, Ltd.
    Inventors: Yasuhiro Horiike, Yasuo Kobayashi
  • Patent number: 5803974
    Abstract: A process for forming a deposited film on a substrate according to the chemical vapor deposition method comprises previously forming excited species of a gas phase compound containing atoms which become constituents constituting said deposited film, supplying the excited species onto the surface of said substrate and effecting photoirradiation on said substrate surface, thereby forming the deposited film through the surface reaction.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: September 8, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuo Mikoshiba, Tadahiro Ohmi, Kazuo Tsubouchi, Kazuya Masu, Nobumasa Suzuki
  • Patent number: 5804923
    Abstract: A plasma processing apparatus includes a microwave introducing member, which is provided with a microwave transmission opening through which microwaves pass into a processing chamber. The microwave introducing member is also provided at a transmission opening with a dielectric member. Preferably, the dielectric member is formed to have a relative dielectric constant of 4 to 10 and an insulation resistance of 10.sup.8 to 10.sup.12 .OMEGA..
    Type: Grant
    Filed: June 14, 1996
    Date of Patent: September 8, 1998
    Assignee: Sumitomo Metal Industries Limited
    Inventors: Kouichi Iio, Kyouichi Komachi, Katsuo Katayama, Takeshi Akimoto
  • Patent number: 5779802
    Abstract: A process chamber is described wherein a plasma is generated by electron-cyclotron resonance (ECR) and is isolated from chamber walls by a magnetic field from two diametrically-opposed solenoids. A substance to be deposited on a substrate is introduced into the chamber by laser ablation, evaporation, or other techniques. The ECR plasma has a relatively large volume to ensure a homogeneous influx of material, and a low potential that results in less aggressive ion bombardment of the substrate. The process chamber can be used in a variety of processes, including deposition and oxidation of superconducting metal oxides, and reduction of indium-tin-oxide with nitrogen at low temperatures.
    Type: Grant
    Filed: August 31, 1995
    Date of Patent: July 14, 1998
    Assignee: IMEC v.z.w.
    Inventors: Gustaaf Regina Borghs, Kristin Johanna Leona Deneffe
  • Patent number: 5753320
    Abstract: A process for forming a deposited film on a substrate according to the chemical vapor deposition method comprises previously forming excited species of a gas phase compound containing atoms which become constituents constituting said deposited film, supplying the excited species onto the surface of said substrate and effecting photoirradiation on said substrate surface, thereby forming the deposited film through the surface reaction.
    Type: Grant
    Filed: June 6, 1995
    Date of Patent: May 19, 1998
    Assignee: Canon Kabushiki Kaisha
    Inventors: Nobuo Mikoshiba, Tadahiro Ohmi, Kazuo Tsubouchi, Kazuya Masu, Nobumasa Suzuki
  • Patent number: 5749966
    Abstract: An improved plasma enhanced chemical vapor deposition (CVD) reactor is provided for the synthesis of diamond and other high temperature materials such as boron nitride, boron carbide and ceramics containing oxides, nitrides, carbides and borides, or the like. An aspect of the present method enables a plasma to substrate distance to be optimized for a given surface. This has been found to enable a substantially uniform thin film coating of diamond or like material to be deposited over a substrate.
    Type: Grant
    Filed: October 14, 1994
    Date of Patent: May 12, 1998
    Assignee: Modular Process Technology Corp.
    Inventor: Steven C. Shates
  • Patent number: 5750207
    Abstract: Apparatus and method are provided for forming coatings of transition metal compounds on solid bodies using cathodic arcs and a reactive gas in a vacuum chamber. The metal composition of the coatings is varied by moving the articles to be coated through the vacuum chamber having cathodes at selected locations, the articles being supported on movable supports.
    Type: Grant
    Filed: February 17, 1995
    Date of Patent: May 12, 1998
    Assignee: SI Diamond Technology, Inc.
    Inventors: Mark S. Hammond, Timothy W. McClain
  • Patent number: 5741364
    Abstract: The present invention relates to relates to a hydrogenated amorphous silicon carbide used as the surface protecting layer of the photosensitive member for electrohotographic apparatus. In view of not allowing generation of blurring of photosensitive member under the high humidity atmosphere, the content (x) of carbon in the hydrogenated amorphous silicon carbide expressed by the general formula a-Si.sub.1-x C.sub.x :H is in the range of 0.4.ltoreq.x.ltoreq.0.8 and a ratio (TO/TA) of the peak (TO) amplitude appearing in the vicinity of 480 cm.sup.-1 and the peak (TA) amplitude appearing in the vicinity of 150 cm.sup.-1 observed by the laser Raman spectroscopy measurement using the excitation laser of Ar.sup.+ 488 nm is set to 2.0 or higher.
    Type: Grant
    Filed: December 11, 1995
    Date of Patent: April 21, 1998
    Assignee: Fujitsu Limited
    Inventors: Jun Kodama, Shin Araki
  • Patent number: 5716500
    Abstract: A method and apparatus for generation of a discharge in own vapors of a radio frequency electrode for sustained self-sputtering and evaporation comprising the steps of: (a) generation of a radio frequency discharge by a radio frequency electrode of a hollow geometry in an auxiliary gas introduced into the discharge area at a pressure necessary for an initiation of a hollow cathode discharge inside the hollow electrode causing sputtering and/or evaporation of the electrode surface; (b) increasing the radio frequency power to said hollow electrode to enhance density of vapors containing particles released from the electrode by the sputtering and/or evaporation in the radio frequency generated hollow cathode discharge up to a density at which a self-sustained discharge remains after the inflow of said auxiliary gas is closed and the pumping of gas is adjusted to a value necessary for the maintenance of the discharge. The hollow radio frequency electrode may serve as an inlet of said auxiliary gas.
    Type: Grant
    Filed: June 21, 1996
    Date of Patent: February 10, 1998
    Assignee: Surfcoat Oy
    Inventors: Ladislav Bardos, Hana Barankova, Soren Berg
  • Patent number: 5704983
    Abstract: A barrier coating is formed on a polymeric article, such as on the interior of a thermoplastic container. An oxidizing gas is converted to a plasma in a plasma chamber remote from the treatment chamber. The resulting plasma-activated oxidizing species are delivered to the interior of the container. An organosilicon reactant vapor is separately but simultaneously delivered to the interior of the container so that the organosilicon vapor and oxidizing active species mix within the container. An electric qfield is also applied to the container, so that the reaction products are deposited under the influence of the electrical field to form the barrier coating.
    Type: Grant
    Filed: December 19, 1996
    Date of Patent: January 6, 1998
    Assignee: Polar Materials Inc.
    Inventors: H. Ronald Thomas, Robert J Babacz, Robert R. Newton
  • Patent number: 5702530
    Abstract: A plasma reactor has plural dielectric gas injection tubes extending from a gas injection source and through a microwave guide and into the top of the reactor chamber. The semiconductor wafer rests near the bottom of the chamber on a wafer pedestal connected to a bias RF power source which is controlled independently of the microwave source coupled to the microwave guide. The microwaves from the waveguide ignite and maintain a plasma in each of the tubes. Gas flow through the tubes carries the plasmas in all the tubes into the chamber and into contact with the wafer surface.
    Type: Grant
    Filed: June 23, 1995
    Date of Patent: December 30, 1997
    Assignee: Applied Materials, Inc.
    Inventors: Hongching Shan, Harald Herchen, Michael Welch
  • Patent number: 5698036
    Abstract: A plasma processing apparatus comprises a processing container, a waveguide tube for guiding microwaves generated by a microwave generator, and a flat antenna member connected to the wave guide and disposed in the container to face a semiconductor wafer supported in the container. The antenna includes a plurality of short slits concentrically or spirally arranged in the antenna. The slits are spaced apart in the widthwise direction at intervals of 5% to 50% of a guide wavelength of the microwave, and each of the slits has a length of +30% of the guide wavelength centered with respect to half of the guide wavelength.
    Type: Grant
    Filed: May 24, 1996
    Date of Patent: December 16, 1997
    Assignees: Tokyo Electron Limited, Naohisa Goto, Makoto Ando, Junichi Takada, Yasuhiro Horike
    Inventors: Nobuo Ishii, Yasuo Kobayashi, Naohisa Goto, Makoto Ando, Junichi Takada, Yasuhiro Horike
  • Patent number: 5690745
    Abstract: A treatment chamber (1) evacuable by vacuum pumps (13,13') has a mounting (26,26', . . .) bearing the hollow body (4) in the treatment chamber (1), and a line (9,9') for the admission of a process gas into the treatment chamber (1). A microwave conductor (20,20' . . .) is connected with a generator (19,19' . . .) for igniting a plasma in the area of channels formed by a sheet-metal shroud (2,2') matching the configuration of the hollow body (4). A closure (7,7') is provided through which the filler opening (6, 6') of the hollow body (4) can be closed pressure-tight, and a line (9,9') for the process gas passes through the closure.
    Type: Grant
    Filed: October 17, 1995
    Date of Patent: November 25, 1997
    Assignee: Leybold AG
    Inventors: Heinrich Grunwald, Hermann Kloberdanz, Roland Lacher, Siang-Hong Boll