Plasma Cleaning Patents (Class 134/1.1)
  • Patent number: 11961719
    Abstract: Provided is a vacuum processing method capable of preventing particles from adhering to a wafer due to a titanium (Ti)-based reaction product. The vacuum processing method is applicable to a plasma processing apparatus including: a sample stage disposed in a processing chamber inside a vacuum container, on which a wafer having a titanium (Ti)-containing film is placed; a coil supplied with a radio frequency power for forming plasma in the processing chamber; and a heating device that emits an electromagnetic wave for heating the wafer placed on an upper surface of the sample stage. The vacuum processing method includes a step of etching the titanium (Ti)-containing film, and a step of cleaning an inside of the processing chamber by using a mixed gas of nitrogen trifluoride (NF3) gas, argon gas, and a chlorine gas.
    Type: Grant
    Filed: June 25, 2020
    Date of Patent: April 16, 2024
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Nozomu Yoshioka, Kazumasa Okuma, Takao Arase
  • Patent number: 11908663
    Abstract: Provided is a plasma processing apparatus capable of suppressing abnormal discharge. The plasma processing apparatus includes: an upper electrode and a lower electrode which are disposed inside a processing container so as to face each other inside the processing container; and a dielectric shower for gas introduction disposed below the upper electrode, wherein the plasma processing apparatus generates plasma in a space between the upper electrode and the lower electrode. The upper electrode includes: at least one slot configured to introduce VHF waves into the processing container; and a gas flow path provided independently of the at least one slot and in communication with the dielectric shower.
    Type: Grant
    Filed: November 26, 2019
    Date of Patent: February 20, 2024
    Assignee: Tokyo Electron Limited
    Inventors: Taro Ikeda, Toshifumi Kitahara
  • Patent number: 11882844
    Abstract: A method of treating a product or surface with a reactive gas, comprises producing the reactive gas by forming a high-voltage cold plasma (HVCP) from a working gas; transporting the reactive gas at least 5 cm away from the HVCP; followed by contacting the product or surface with the reactive gas. The HVCP does not contact the product or surface.
    Type: Grant
    Filed: March 12, 2021
    Date of Patent: January 30, 2024
    Assignee: NanoGuard Technologies, LLC
    Inventors: Kevin M. Keener, Mark A. Hochwalt
  • Patent number: 11859280
    Abstract: Described herein is a technique capable of improving a film thickness uniformity on a surface of a wafer whereon a film is formed. According to one aspect of the technique of the present disclosure, there is provided a substrate processing apparatus including: a process chamber in which a substrate is processed; a process gas nozzle configured to supply a process gas into the process chamber; an inert gas nozzle configured to supply an inert gas into the process chamber while a concentration of the process gas at the center of the substrate is higher than a concentration required for processing the substrate; and an exhaust pipe configured to exhaust an inner atmosphere of the process chamber; wherein the process gas nozzle and the inert gas nozzle are disposed beside the edge of substrate with a predetermined distance therebetween corresponding to an angle of circumference of 90 to 180 degrees.
    Type: Grant
    Filed: August 22, 2022
    Date of Patent: January 2, 2024
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Hidetoshi Mimura, Takafumi Sasaki, Hidenari Yoshida, Yusaku Okajima
  • Patent number: 11862482
    Abstract: A bonding tool includes a gas supply line that may extend directly between valves associated with one or more gas supply tanks and a processing chamber such that gas supply line is uninterrupted without any intervening valves or other types of structures that might otherwise cause a pressure buildup in the gas supply line between the processing chamber and the valves associated with the one or more gas supply tanks. The pressure in the gas supply line may be maintained at or near the pressure in the processing chamber so that gas provided to the processing chamber through the gas supply line does not cause a pressure imbalance in the processing chamber, which might otherwise cause early or premature contact between semiconductor substrates that are to be bonded in the processing chamber.
    Type: Grant
    Filed: May 26, 2021
    Date of Patent: January 2, 2024
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yen-Hao Huang, Chun-Yi Chen, I-Shi Wang, Yin-Tun Chou, Yuan-Hsin Chi, Sheng-Yuan Lin
  • Patent number: 11830707
    Abstract: A method for treating a flexible plastic substrate is provided herein. The method includes establishing an atmospheric pressure plasma beam from an inert gas using a power of greater than about 90W, directing the plasma beam toward a surface of the flexible polymer substrate, and scanning the plasma beam across the surface of the polymer substrate to form a treated substrate surface.
    Type: Grant
    Filed: October 13, 2021
    Date of Patent: November 28, 2023
    Assignee: CORNING INCORPORATED
    Inventors: Jiangwei Feng, Wageesha Senaratne
  • Patent number: 11817297
    Abstract: Embodiments of the present disclosure relate to apparatus, systems and methods for managing organic compounds in thermal processing chambers. A gas line can be in fluid communication with the thermal processing chamber and an exhaust pump can be coupled to the thermal processing chamber by an exhaust conduit and controlled by an effluent flow control valve. The apparatus includes a sampling line with an organic compound sensor coupled to the exhaust conduit. The organic compound sensor can be in communication with a control module which can control operating parameters for processing a substrate.
    Type: Grant
    Filed: March 6, 2020
    Date of Patent: November 14, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Matthew Spuller, Dongming Iu
  • Patent number: 11794384
    Abstract: A protective member forming apparatus includes an ultraviolet radiation applying table that supports a workpiece on a support surface of a support plate thereof through which ultraviolet rays are transmittable, a delivery unit that holds a resin sheet to which the workpiece is fixed, to unload the workpiece from the ultraviolet radiation applying table, a resin supply unit that supplies an ultraviolet-curable liquid resin to the resin sheet placed on the support surface, a pressing unit that presses the workpiece from a reverse side thereof toward the liquid resin supplied to the resin sheet placed on the support surface, and an ionizer unit that ejects ionized air to the support surface of the ultraviolet radiation applying table.
    Type: Grant
    Filed: July 20, 2021
    Date of Patent: October 24, 2023
    Assignee: DISCO CORPORATION
    Inventor: Yoshinori Kakinuma
  • Patent number: 11768437
    Abstract: A photolithography system utilizes tin droplets to generate extreme ultraviolet radiation for photolithography. The photolithography system irradiates the droplets with a laser. The droplets become a plasma and emit extreme ultraviolet radiation. The photolithography system senses contamination of a collector mirror by the tin droplets and adjusts the flow of a buffer fluid to reduce the contamination.
    Type: Grant
    Filed: July 18, 2022
    Date of Patent: September 26, 2023
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tai-Yu Chen, Sagar Deepak Khivsara, Kuo-An Liu, Chieh Hsieh, Shang-Chieh Chien, Gwan-Sin Chang, Kai Tak Lam, Li-Jui Chen, Heng-Hsin Liu, Chung-Wei Wu, Zhiqiang Wu
  • Patent number: 11756784
    Abstract: A method of cleaning a surface of a substrate uses alcohol and water treatments. The method may include applying an alcohol treatment on a surface of the substrate with the alcohol treatment configured to provide surface reduction and applying a water treatment to the surface of the substrate with the water treatment configured to enhance selectivity of at least a portion of the surface for a subsequent barrier layer process by removing alcohol from the at least a portion of the surface. The water treatment may be performed simultaneously with the alcohol treatment or performed after the alcohol treatment. The water treatment may include vaporized water or water injected into a plasma to produce hydrogen or oxygen radicals.
    Type: Grant
    Filed: July 5, 2022
    Date of Patent: September 12, 2023
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Carmen Leal Cervantes, Alexander Jansen, Xiangjin Xie
  • Patent number: 11756769
    Abstract: A plasma processing apparatus includes: a chamber accommodating a plurality of substrates; a plurality of substrate supports provided inside the chamber and configured to support a substrate; a plurality of radio-frequency power sources provided corresponding to the plurality of substrate supports, and configured to supply radio-frequency power to the plurality of substrate supports, respectively; and a plurality of shields configured to compart the inside of the chamber and provided corresponding to the plurality of substrate supports to define a processing space where plasma is generated. A radio-frequency current path is formed between the plurality of shields so as not to interfere with one another.
    Type: Grant
    Filed: April 2, 2021
    Date of Patent: September 12, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takashi Tohara, Naokazu Furuya, Yosuke Tamuro, Yuzuru Sakai
  • Patent number: 11742214
    Abstract: The present invention provides a plasma processing method for subjecting a sample on which a metal element-containing film is disposed to plasma etching in a processing chamber. The method comprises: subjecting an inside of the processing chamber to plasma cleaning using a boron element-containing gas; removing the boron element using plasma after the plasma cleaning; subjecting the inside of the processing chamber to plasma cleaning using a fluorine element-containing gas after removing the boron element; depositing a deposited film in the processing chamber by plasma using a silicon element-containing gas after the plasma cleaning using the fluorine element-containing gas; and subjecting the sample to plasma etching after depositing the deposited film.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: August 29, 2023
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Junya Sasaki, Masahiro Sumiya
  • Patent number: 11721566
    Abstract: Methods and systems for monitoring film thickness using a sensor assembly include a process chamber having a chamber body, a substrate support disposed in the chamber body, a lid disposed over the chamber body, and a sensor assembly coupled to the chamber body at a lower portion of the sensor assembly. The sensor assembly is coupled to the lid at an upper portion of the sensor assembly. The sensor assembly includes one or more apertures disposed through one or more sides of the sensor assembly, and the one or more sensors are disposed in the sensor assembly through the one or more of the apertures.
    Type: Grant
    Filed: July 13, 2021
    Date of Patent: August 8, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Xiaozhou Che, Graeme Jamieson Scott, Richard Gustav Hagborg, Alan H. Ouye, Nelson A. Yee
  • Patent number: 11709200
    Abstract: A method of calibrating a thermal sensor device is provided. The method includes extracting an incremental voltage to temperature curve for a diode array from a first incremental voltage of the diode array at a first temperature. The diode array and a device under test (DUT) which includes a thermal sensor are heated. After heating the diode array, a first incremental temperature is determined from the incremental voltage to temperature curve for the diode array and a second incremental voltage of the diode array after heating the diode array. An incremental voltage to temperature curve is extracted for the DUT from the first incremental temperature, a first incremental voltage for the DUT at the first temperature, and a second incremental voltage of the DUT after heating the device under test. A temperature error for the thermal sensor is determined from the incremental voltage to temperature curve for the DUT.
    Type: Grant
    Filed: July 29, 2022
    Date of Patent: July 25, 2023
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Szu-Lin Liu, Jaw-Juinn Horng
  • Patent number: 11699577
    Abstract: Exemplary methods of treating a chamber may include delivering a cleaning precursor to a remote plasma unit. The methods may include forming a plasma of the cleaning precursor. The methods may include delivering plasma effluents of the cleaning precursor to a processing region of a semiconductor processing chamber. The processing region may be defined by one or more chamber components. The one or more chamber components may include an oxide coating. The methods may include halting delivery of the plasma effluents. The methods may include treating the oxide coating with a hydrogen-containing material delivered to the processing region subsequent halting delivery of the plasma effluents.
    Type: Grant
    Filed: May 25, 2021
    Date of Patent: July 11, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Sarah Michelle Bobek, Ruiyun Huang, Abdul Aziz Khaja, Amit Bansal, Dong Hyung Lee, Ganesh Balasubramanian, Tuan Anh Nguyen, Sungwon Ha, Anjana M. Patel, Ratsamee Limdulpaiboon, Karthik Janakiraman, Kwangduk Douglas Lee
  • Patent number: 11646203
    Abstract: A thin film formation apparatus includes a chamber, a platen disposed within the chamber, a heater configured to heat the platen within the chamber, a gas inlet communicating with an interior of the chamber and configured to supply a reducing gas and inert gas to the interior of the chamber, a target disposed within the chamber and spatially separated from the platen, and a microwave plasma source disposed adjacent to the target. The reducing gas includes at least one of hydrogen (H2) and deuterium (D2).
    Type: Grant
    Filed: July 10, 2020
    Date of Patent: May 9, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Junyeong Lee, Minkyu Park, Insun Yi, Beomseok Kim, Youngseok Kim, Kuntack Lee
  • Patent number: 11643722
    Abstract: A plasma cleaning apparatus includes a metal chamber, a gate assembly, a dielectric, and a high voltage electrode. The metal chamber is connected to a vacuum tube connecting the process chamber and the vacuum pump, and is provided with a first opening. The gate assembly includes a gate support fixed to the metal chamber around the first opening and having a second opening, and a gate coupled to the gate support and having a first position closing the second opening and a second position opening the second opening switchable with each other. The dielectric is coupled to the outside of the gate support around the second opening, and the high voltage electrode is positioned on an outer surface of the dielectric.
    Type: Grant
    Filed: December 24, 2020
    Date of Patent: May 9, 2023
    Assignee: KOREA INSTITUTE OF MACHINERY & MATERIALS
    Inventors: Min Hur, Woo Seok Kang, Dae-Woong Kim, Jinyoung Lee
  • Patent number: 11626269
    Abstract: Processes for surface treatment of a workpiece are provided. In one example implementation, a method can include conducting a pre-treatment process on a processing chamber to generate a hydrogen radical affecting layer on a surface of the processing chamber prior to performing a hydrogen radical based surface treatment process on a workpiece in the processing chamber. In this manner, a pretreatment process can be conducted to condition a processing chamber to increase uniformity of hydrogen radical exposure to a workpiece.
    Type: Grant
    Filed: March 15, 2021
    Date of Patent: April 11, 2023
    Assignees: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD, MATTSON TECHNOLOGY, INC.
    Inventors: Qi Zhang, Xinliang Lu, Hua Chung
  • Patent number: 11626271
    Abstract: Embodiments are disclosed for reducing substrate breaks which result from inadequate de-chucking. Contaminants are removed from the surface of a chuck by exposing the chuck to a plasma process that comprises a hydrogen (H)-containing plasma. The chuck is subjected to the hydrogen-based plasma when no substrate is on the chuck. In one embodiment, the plasma is a hydrocarbon-based plasma. Hydrogen in the hydrocarbon plasma may react with and remove the contaminants. The process may further include an additional plasma step for removal of any newly formed materials that may result from the hydrocarbon plasma. The removal step may be, for example, a subsequent plasma ash step. In one embodiment, the chuck is an electrostatic chuck and the contaminants comprise fluorine. By removing contaminants from the chuck surface, improved substrate de-chucking occurs. This improvement correspondingly leads to less substrate breakage when removing substrates from the chuck.
    Type: Grant
    Filed: May 20, 2021
    Date of Patent: April 11, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Scott Lefevre, Akiteru Ko
  • Patent number: 11594399
    Abstract: A cleaning method removes a silicon oxide film by plasma from a member that is provided in a processing container of a plasma processing apparatus and having the silicon oxide film formed on its surface. The cleaning method includes: supplying a processing gas into the processing container; generating plasma of the processing gas that is supplied into the processing container; and applying bias power that draws ions in the plasma of the processing gas to the member. A ratio of a value of the bias power to a pressure in the processing container is 1.0 W/mTorr or less.
    Type: Grant
    Filed: February 14, 2019
    Date of Patent: February 28, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Shinsuke Oka
  • Patent number: 11572622
    Abstract: Exemplary semiconductor processing methods to clean a substrate processing chamber are described. The methods may include depositing a dielectric film on a first substrate in a substrate processing chamber, where the dielectric film may include a silicon-carbon-oxide. The first substrate having the dielectric film may be removed from the substrate processing chamber, and the dielectric film may be deposited on at least one more substrate in the substrate processing chamber. The at least one more substrate may be removed from the substrate processing chamber after the dielectric film is deposited on the substrate. Etch plasma effluents may flow into the substrate processing chamber after the removal of a last substrate having the dielectric film. The etch plasma effluents may include greater than or about 500 sccm of NF3 plasma effluents, and greater than or about 1000 sccm of O2 plasma effluents.
    Type: Grant
    Filed: September 14, 2020
    Date of Patent: February 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Bo Xie, Ruitong Xiong, Kang Sub Yim, Yijun Liu, Li-Qun Xia, Sure K. Ngo
  • Patent number: 11545372
    Abstract: A plasma generator, a cleaning liquid processing apparatus including the same, a semiconductor cleaning apparatus, and a cleaning liquid processing method are provided. The cleaning liquid processing apparatus comprising a bubble formation section configured to lower a pressure of a mixed liquid obtained by mixing a liquid and a gas to form bubbles in the mixed liquid, a plasma generator connected to the bubble formation section and configured to apply a voltage to the mixed liquid to form plasma in the bubbles formed in the mixed liquid, a mixing section connected to the plasma generator and configured to dissolve radicals included in the plasma into the mixed liquid, and a discharge nozzle connected to the mixing section and configured to discharge the mixed liquid to a wafer.
    Type: Grant
    Filed: May 24, 2019
    Date of Patent: January 3, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Beom Jin Yoo, Min Hyoung Kim, Sang Ki Nam, Lu Siqing, Won Hyuk Jang, Kyu Hee Han
  • Patent number: 11530486
    Abstract: A cleaning method for a by-product including a refractory material or a metal compound includes a reforming process and an etching process. In the reforming process, a surface of the by-product is reformed using nitrogen-containing gas and hydrogen-containing gas. In the etching process, the reformed surface is etched using halogen-containing gas and inert gas.
    Type: Grant
    Filed: September 10, 2020
    Date of Patent: December 20, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Daisuke Shirasawa, Naoki Sato
  • Patent number: 11521871
    Abstract: The present disclosure relates to a rapid thermal processing apparatus for rapid heat treatment of a substrate, and particularly, to increasing the accuracy in measuring the temperature of a substrate to be thermally processed by configuring a thermocouple for measuring the temperature of the substrate under the same conditions as the substrate to be thermally processed so as to be attached to and detached from the chamber, and the present disclosure provides a rapid thermal processing apparatus having a thermocouple installed to measure a temperature of a substrate to be thermally processed located inside a chamber, and the rapid thermal processing apparatus includes a mounting hole formed in the chamber, and a thermocouple kit inserted into and mounted to the mounting hole so that a bonding portion of a thermocouple wire is located at a thermocouple substrate extending into the chamber.
    Type: Grant
    Filed: July 6, 2020
    Date of Patent: December 6, 2022
    Assignee: ULTECH CO., LTD.
    Inventors: Changgil Seog, Daeyoung Kong
  • Patent number: 11521838
    Abstract: A method for removing etchant byproduct from an etch reactor and discharging a substrate from an electrostatic chuck of the etch reactor is provided. A substrate may be electrostatically secured to an electrostatic chuck within a chamber of an etch reactor. A first plasma may be provided into the chamber to etch the substrate, causing an etchant byproduct to be generated. After the etching is complete, a second plasma may be provided into the chamber, wherein the second plasma is an oxygen containing plasma. The etchant byproduct may be removed and the first substrate may be discharged using the second plasma. The first substrate may be removed from the chamber and a second substrate may be inserted into the chamber without first performing an in-situ cleaning between the removal of the first substrate and the insertion of the second substrate.
    Type: Grant
    Filed: December 18, 2018
    Date of Patent: December 6, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Yi Zhou, Seul Ki Ahn, Seung-Young Son, Li-Te Chang, Sunil Srinivasan, Rajinder Dhindsa
  • Patent number: 11511891
    Abstract: A system and method for generating a force from a voltage difference applied across at least one electrically conductive surface. The applied voltage difference creates an electric field resulting in an electrostatic pressure force acting on at least one surface of an object. Asymmetries in the resulting electrostatic pressure force vectors result in a net resulting electrostatic pressure force acting on the object. The magnitude of the net resulting electrostatic pressure force is a function of the geometry of the electrically conductive surfaces, the applied voltage, and the dielectric constant of any material present in the gap between electrodes. The invention may be produced on a nanoscale using nanostructures such as carbon nanotubes. The invention may be utilized to provide a motivating force to an object. A non-limiting use case example is the use of electrostatic pressure force apparatus as a thruster to propel a spacecraft through a vacuum.
    Type: Grant
    Filed: November 19, 2019
    Date of Patent: November 29, 2022
    Inventors: Andrew Neil Aurigema, Charles Raymond Buhler, IV
  • Patent number: 11495468
    Abstract: An etching method includes: preparing a compound in a processing space in which an etching target is accommodated; and etching the etching target with a mask film formed thereon, under an environment where the compound exists. The etching of the etching target includes etching the etching target under an environment where hydrogen (H) and fluorine (F) exist when the etching target contains silicon nitride (SiN), and etching the etching target under an environment where nitrogen (N), hydrogen (H), and fluorine (F) exist when the etching target contains silicon (Si). The compound includes at least one halogen element selected from a group consisting of carbon (C), chlorine (Cl), bromine (Br), and iodine (I).
    Type: Grant
    Filed: August 28, 2020
    Date of Patent: November 8, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Takahiro Yokoyama, Maju Tomura, Yoshihide Kihara, Masanobu Honda
  • Patent number: 11488813
    Abstract: A method for cleaning a microwave plasma processing apparatus which has a processing container and a microwave radiation part, and which has a window part provided at a position where the microwave radiation part is disposed in the processing container, includes a cleaning step of adjusting a pressure to a pressure corresponding to a size of a cleaning target part, among parts within the processing container including a wall surface of the processing container, the microwave radiation part, and the window part, while supplying a cleaning gas, and performing a cleaning process using plasma of the cleaning gas.
    Type: Grant
    Filed: May 30, 2019
    Date of Patent: November 1, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Satoshi Itoh, Takafumi Nogami, Eita Yokokura, Reisa Matsumoto
  • Patent number: 11450513
    Abstract: Etching a refractory metal or other high surface binding energy material on a substrate can maintain or increase the smoothness of the metal/high EO surface, in some cases produce extreme smoothing. A substrate having an exposed refractory metal/high EO surface is provided. The refractory metal/high EO surface is exposed to a modification gas to modify the surface and form a modified refractory metal/high EO surface. The modified refractory metal/high EO surface is exposed to an energetic particle to preferentially remove the modified refractory metal/high EO surface relative to an underlying unmodified refractory metal/high EO surface such that the exposed refractory metal/high EO surface after removing the modified refractory metal/high EO surface is as smooth or smoother than the substrate surface before exposing the substrate surface to the modification gas.
    Type: Grant
    Filed: March 15, 2019
    Date of Patent: September 20, 2022
    Assignee: Lam Research Corporation
    Inventors: Wenbing Yang, Tamal Mukherjee, Mohand Brouri, Samantha Tan, Yang Pan, Keren Jacobs Kanarik
  • Patent number: 11448691
    Abstract: A method of calibrating a thermal sensor device is provided. The method includes extracting an incremental voltage to temperature curve for a diode array from a first incremental voltage of the diode array at a first temperature. The diode array and a device under test (DUT) which includes a thermal sensor are heated. After heating the diode array, a first incremental temperature is determined from the incremental voltage to temperature curve for the diode array and a second incremental voltage of the diode array after heating the diode array. An incremental voltage to temperature curve is extracted for the DUT from the first incremental temperature, a first incremental voltage for the DUT at the first temperature, and a second incremental voltage of the DUT after heating the device under test. A temperature error for the thermal sensor is determined from the incremental voltage to temperature curve for the DUT.
    Type: Grant
    Filed: April 23, 2021
    Date of Patent: September 20, 2022
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Szu-Lin Liu, Jaw-Juinn Horng
  • Patent number: 11443936
    Abstract: A method of cleaning a surface of a substrate uses alcohol and water treatments. The method may include applying an alcohol treatment on a surface of the substrate with the alcohol treatment configured to provide surface reduction and applying a water treatment to the surface of the substrate with the water treatment configured to enhance selectivity of at least a portion of the surface for a subsequent barrier layer process by removing alcohol from the at least a portion of the surface. The water treatment may be performed simultaneously with the alcohol treatment or performed after the alcohol treatment. The water treatment may include vaporized water or water injected into a plasma to produce hydrogen or oxygen radicals.
    Type: Grant
    Filed: June 19, 2020
    Date of Patent: September 13, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Carmen Leal Cervantes, Alexander Jansen, Xiangjin Xie
  • Patent number: 11430641
    Abstract: Exemplary methods of semiconductor processing may include forming a plasma of a fluorine-containing precursor. The methods may include performing a chamber clean in a processing region of a semiconductor processing chamber. The processing region may be at least partially defined between a faceplate and a substrate support. The methods may include generating aluminum fluoride during the chamber clean. The methods may include contacting surfaces within the processing region with a carbon-containing precursor. The methods may include volatilizing aluminum fluoride from the surfaces of the processing region.
    Type: Grant
    Filed: July 2, 2021
    Date of Patent: August 30, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Vivien Chua, Prashant Kumar Kulshreshtha, Zhijun Jiang, Fang Ruan, Diwakar Kedlaya
  • Patent number: 11427906
    Abstract: The present disclosure relates to an apparatus and method for cleaning a chamber, and more particularly, to an apparatus and method for cleaning a chamber, which are capable of cleaning the chamber which is contaminated while depositing a thin film on a substrate. The chamber cleaning method in accordance with an exemplary embodiment is a method for cleaning a chamber configured to deposit a zinc oxide, the method comprising: supplying a chlorine (Cl)-containing gas and a hydrogen (H)-containing gas into a chamber; activating and reacting the separately supplied gases with each other inside the chamber to generate a reaction gas; and firstly cleaning the chamber with the reaction gas.
    Type: Grant
    Filed: February 7, 2019
    Date of Patent: August 30, 2022
    Inventors: Dong Hwan Lee, Jae Ho Kim, Hyun Il Kim, Ho Jin Yun, Jae Wan Lee, Byung Gwan Lim
  • Patent number: 11387111
    Abstract: Methods for material removal of a film, such as a metal nitride film, from a workpiece are provided. One example implementation is directed to a method for processing a workpiece. The workpiece can include a film (e.g., a metal nitride film). The method can include generating one or more species (e.g., hydrogen radicals, excited inert gas molecules, etc.). The method can include mixing alkyl halide with the one or more species to generate one or more alkyl radicals. The method can include exposing the film to the one or more alkyl radicals.
    Type: Grant
    Filed: April 10, 2019
    Date of Patent: July 12, 2022
    Assignees: Mattson Technology, Inc., Beijing E-Town Semiconductor Technology, Co., LTD
    Inventors: Michael X. Yang, Hua Chung, Xinliang Lu
  • Patent number: 11377733
    Abstract: A method of depositing tungsten over a substrate includes disposing the substrate into a vacuum enclosure of a tungsten deposition apparatus, performing a first tungsten deposition process that deposits a first tungsten layer over a physically exposed surface of the substrate by flowing a fluorine-containing tungsten precursor gas into the vacuum enclosure, performing an in-situ oxidation process by exposing the first tungsten layer to an oxidation agent gas while the substrate remains within the vacuum enclosure without breaking vacuum and forming a tungsten oxyfluoride gas which is pumped out of the vacuum enclosure, and performing a second tungsten deposition process that deposits a second tungsten layer on the first tungsten layer by flowing the fluorine-containing tungsten precursor gas into the vacuum enclosure in a second tungsten deposition process after the in-situ oxidation process.
    Type: Grant
    Filed: August 7, 2020
    Date of Patent: July 5, 2022
    Assignee: SANDISK TECHNOLOGIES LLC
    Inventors: Fei Zhou, Raghuveer S. Makala, Rahul Sharangpani, Yusuke Mukae, Naoki Takeguchi
  • Patent number: 11380563
    Abstract: There is provided a technique, which includes: a reaction tube configured to form a process chamber in which a substrate is processed; an electrode fixing jig installed outside the reaction tube and configured to fix at least two electrodes for forming plasma in the process chamber; and a heating device installed outside the electrode fixing jig and configured to heat the reaction tube, wherein the at least two electrodes include at least one electrode to which a predetermined potential is applied and at least one electrode to which a reference potential is applied, and wherein a surface area of the at least one electrode to which the predetermined potential is applied is two times or more than a surface area of the at least one electrode to which the reference potential is applied.
    Type: Grant
    Filed: September 3, 2019
    Date of Patent: July 5, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Tsuyoshi Takeda, Daisuke Hara
  • Patent number: 11353802
    Abstract: Provided are an optical device capable of effectively preventing contamination and a method for preventing contamination of the same. An optical device according to an embodiment includes a light source that generates light containing EUV (Extreme UltraViolet) light or VUV (Vacuum UltraViolet) light, a chamber in which an object to be irradiated with the light is placed, an optical element placed inside the chamber to guide the light, an introduction unit that introduces hydrogen or helium into the chamber, a power supply that applies a negative voltage to the optical element in the chamber, an ammeter that measures an ion current flowing through the optical element, and a control unit that adjusts the amount of the hydrogen or the helium introduced according to a measurement result of the ammeter.
    Type: Grant
    Filed: May 24, 2021
    Date of Patent: June 7, 2022
    Assignee: Lasertec Corporation
    Inventors: Haruhiko Kusunose, Tsunehito Kohyama
  • Patent number: 11355325
    Abstract: Methods and apparatus for plasma processing are provided herein. For example, apparatus can include a system for plasma processing including a remote plasma source including a supply terminal configured to connect to a power source and an output configured to deliver RF power to a plasma block of the remote plasma source for creating a plasma and a controller configured to control operation of the remote plasma source based on a measured input power at the supply terminal.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: June 7, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Ramesh Gopalan, Hemant Mungekar, Guomin Mao, Rongping Wang, Teryl Pratt
  • Patent number: 11342194
    Abstract: A technique improves selectivity in etching of a silicon-containing film over etching of a mask in plasma etching. A substrate processing method includes placing a substrate in a chamber in a plasma processing apparatus. The substrate includes a silicon-containing film and a mask on the silicon-containing film. The substrate processing method further includes generating plasma from a first process gas containing a hydrogen fluoride gas in the chamber. The generating plasma includes etching the silicon-containing film with a chemical species contained in the plasma. A flow rate of the hydrogen fluoride gas is at least 80 vol % of a total flow rate of non-inert components of the first process gas.
    Type: Grant
    Filed: November 9, 2020
    Date of Patent: May 24, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Ryutaro Suda, Maju Tomura
  • Patent number: 11329339
    Abstract: A method for manufacturing a battery cell (1) and a battery cell (1) comprises providing a battery housing (3) and introducing electrodes (5) and an electrolyte (9) into the battery housing (3). At least partial regions (23) of a surface, in particular an outer surface, of the battery housing (3) are coated with a diffusion barrier layer (25) made of a polymer material (27) and then the polymer material (27) of the diffusion barrier layer (25) is oxidized at least on the surface to form an oxide layer (29). The polymer material (27) may be in particular silicone so that the oxide layer (29) consists of silicon dioxide. An oxide layer (29) thus generated increases a barrier effect of the diffusion barrier layer (25) considerably and may be generated using technically simple means, such as for example an atmospheric pressure plasma.
    Type: Grant
    Filed: May 2, 2019
    Date of Patent: May 10, 2022
    Assignee: Robert Bosch GmbH
    Inventor: Andreas Ringk
  • Patent number: 11282682
    Abstract: A magnetron drive mechanism is provided. The magnetron drive mechanism includes: a driving assembly, a rotating assembly, a transmission assembly, and a limiting assembly. The driving assembly is configured to drive the rotating assembly and the transmission assembly to rotate clockwise or counterclockwise around a first rotation axis. The rotating assembly is connected to a magnetron, and through the transmission assembly, the driving assembly drives the rotating assembly and the magnetron to rotate clockwise or counterclockwise around a second rotation axis. The second rotation axis and the first rotation axis are parallel with each other. The limiting assembly is configured to block the rotating assembly from rotating clockwise or counterclockwise, respectively, to confine the magnetron to positions at different radii of the first rotation axis. The present disclosure also provides a magnetron assembly and a reaction chamber.
    Type: Grant
    Filed: April 28, 2018
    Date of Patent: March 22, 2022
    Assignee: BEIJING NAURA MICROELECTRONICS EQUIPMENT CO., LTD.
    Inventors: Qing She, Jingfeng Wei, Mengxin Zhao, Jue Hou
  • Patent number: 11251052
    Abstract: A plasma processing method includes a substrate processing step of performing predetermined processing on a target substrate loaded into a chamber by using plasma of a hydrogen-containing gas and unloading the processed substrate from the chamber; and an in-chamber processing step of processing surfaces of components in the chamber by plasma of an oxygen-containing gas after the substrate processing step is performed at least once. The substrate processing step is performed again at least once after the in-chamber processing step.
    Type: Grant
    Filed: June 28, 2018
    Date of Patent: February 15, 2022
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naotsugu Hoshi, Tetsuya Ohishi, Shinji Higashitsutsumi
  • Patent number: 11214864
    Abstract: A method for reducing metal contamination performed after dry cleaning of a process chamber used for a film deposition process and before starting the film deposition process is provided. In the method, a temperature in the process chamber is changed from a first temperature during the dry cleaning to a film deposition temperature. Hydrogen and oxygen are activated in the vacuum chamber while supplying hydrogen and oxygen into the process chamber. An inside of the process chamber is coated by performing the film deposition process without a substrate in the process chamber after the step of activating hydrogen and oxygen.
    Type: Grant
    Filed: March 26, 2018
    Date of Patent: January 4, 2022
    Assignee: Tokyo Electron Limited
    Inventor: Takahito Umehara
  • Patent number: 11211232
    Abstract: The present disclosure describes a chuck-based device and a method for cleaning a semiconductor manufacturing system. The semiconductor manufacturing system can include a chamber with the chuck-based device configured to clean the chamber, a loading port coupled to the chamber and configured to hold one or more wafer storage devices, and a control device configured to control a translational displacement and a rotation of the chuck-based device. The chuck-based device can include a based stage, one or more supporting rods disposed at the base stage and configured to be vertically extendable or retractable, and a padding film disposed on the one or more supporting rods.
    Type: Grant
    Filed: April 30, 2019
    Date of Patent: December 28, 2021
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Ian Hsieh, Che-fu Chen, Yan-Hong Liu
  • Patent number: 11183391
    Abstract: A method for processing semiconductor wafer is provided. The method includes supplying a processing gas into an etching chamber containing a semiconductor wafer. The method also includes detecting a pressure in the etching chamber. The method further includes regulating an exhaust flow from the etching chamber by adjusting an open ratio of a valve according to a data in relation to a pressure in the etching chamber produced by the pressure sensor. In addition, the method includes determining an etching endpoint based on the open ratio of the valve.
    Type: Grant
    Filed: March 12, 2020
    Date of Patent: November 23, 2021
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventor: Lee-Chuan Tseng
  • Patent number: 11158490
    Abstract: A processing apparatus performs a predetermined process on an object to be processed by supplying halogen-based gas into a chamber in which a vacuum is maintained, to which chamber a member having an oxide film formed on a surface thereof is connected, or which chamber has an oxide film formed on a surface thereof, wherein the predetermined processing is performed on the target object once or a plurality of times in the chamber. Later, oxygen gas or dry air is supplied to the chamber to purge the chamber, and then the chamber is opened and exposed to the atmosphere.
    Type: Grant
    Filed: October 3, 2016
    Date of Patent: October 26, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Tomohito Matsuo, Hiroshi Nagaike
  • Patent number: 11152269
    Abstract: Provided is a plasma processing apparatus including: a plurality of gas supply nozzles which are provided on a wall surface of a processing container and supply process gas toward the inside of the processing container in a radial direction; N microwave introducing modules of which the number disposed in a circumferential direction of a ceiling plate of the processing container so as to introduce microwaves for generating plasma into the processing container, in which N?2; and M sensors provided on the wall surface of the processing container so as to monitor at least any one of electron density Ne and electron temperature Te of the plasma generated in the processing container, in which M equals to N or a multiple of N.
    Type: Grant
    Filed: April 9, 2018
    Date of Patent: October 19, 2021
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Taro Ikeda, Yuki Osada
  • Patent number: 11133450
    Abstract: A device includes a first chip having a first circuit element, a first interconnect pad in electrical contact with the first circuit element, and a barrier layer on the first interconnect pad, a superconducting bump bond on the barrier layer, and a second chip joined to the first chip by the superconducting bump bond, the second chip having a first quantum circuit element, in which the superconducting bump bond provides an electrical connection between the first circuit element and the first quantum circuit element.
    Type: Grant
    Filed: August 30, 2019
    Date of Patent: September 28, 2021
    Assignee: Google LLC
    Inventors: Joshua Yousouf Mutus, Erik Anthony Lucero
  • Patent number: 11124867
    Abstract: The present invention provides a gradient material layer and a method for manufacturing the same. The gradient material layer has a base-material region, a diffusion region, and a compound region, wherein the diffusion region is located between the base-material region and the compound region. The base-material region includes a metal material. The diffusion region doped with nitrogen includes the metal material. The compound region includes metal nitride. The nitrogen content of the compound region is greater than that of the diffusion region.
    Type: Grant
    Filed: March 13, 2020
    Date of Patent: September 21, 2021
    Assignee: National Taiwan University of Science and Technology
    Inventors: Yu-Lin Kuo, Hsien-Po Wang, Jhao-Yu Guo
  • Patent number: 11121002
    Abstract: Exemplary etching methods may include flowing a halogen-containing precursor into a substrate processing region of a semiconductor processing chamber. The methods may include contacting a substrate housed in the substrate processing region with the halogen-containing precursor. The substrate may define an exposed region of a transition-metal-containing material. The methods may also include removing the transition-metal-containing material. The flowing and the contacting may be plasma-free operations.
    Type: Grant
    Filed: October 24, 2018
    Date of Patent: September 14, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Hanshen Zhang, Siliang Chang, Daniella Holm