One An Acid Or An Acid Salt Patents (Class 134/28)
  • Patent number: 11920257
    Abstract: A method of evaluating cleanliness of a member having a silicon carbide surface, the method including bringing the silicon carbide surface into contact with a mixed acid of hydrofluoric acid, hydrochloric acid and nitric acid; concentrating the mixed acid brought into contact with the silicon carbide surface by heating; subjecting a sample solution obtained by diluting a concentrated liquid obtained by the concentration to quantitative analysis of metal components by Inductively Coupled Plasma-Mass Spectrometry; and evaluating cleanliness of the member having a silicon carbide surface on the basis of a quantitative result of metal components obtained by the quantitative analysis.
    Type: Grant
    Filed: August 3, 2021
    Date of Patent: March 5, 2024
    Assignee: SUMCO CORPORATION
    Inventors: Takashi Muramatsu, Hirokazu Kato
  • Patent number: 11912965
    Abstract: The present disclosure relates to a solid, enzymatic detergent compositions and methods of making and using the same. In a preferred embodiment, the detergent compositions are particularly useful for cleaning medical and dental instruments. In a preferred embodiment the compositions are particularly use for cleaning ware.
    Type: Grant
    Filed: April 8, 2022
    Date of Patent: February 27, 2024
    Assignee: ECOLAB USA INC.
    Inventors: Erik C. Olson, David Riehm, Carter M. Silvernail, Olivia N. L. Finster, Michael S. Rischmiller, Timothy Meier
  • Patent number: 11908720
    Abstract: Disclosed are a CMP wafer cleaning apparatus, and a wafer transfer manipulator and a wafer overturn method for same. The wafer transfer manipulator includes: a transverse transfer shaft, with same only being located at a side of a cleaning unit; a transverse transfer carriage provided on the transverse transfer shaft, and capable of transversely moving along the transverse transfer shaft; a first vertical lifting shaft provided on the transverse transfer carriage, and capable of vertically moving on the transverse transfer carriage; a rotary table provided on the first vertical lifting shaft; and a first claw clamping arm connected to the rotary table, and driven by the rotary table to move in a rotational manner. The CMP wafer cleaning apparatus is provided, and when the CMP wafer cleaning apparatus fails, safe storage of a polished wafer can be realized.
    Type: Grant
    Filed: September 26, 2019
    Date of Patent: February 20, 2024
    Assignee: HANGZHOU SIZONE ELECTRONIC TECHNOLOGY INC.
    Inventors: Linghan Shen, EdwardLiCang Lee
  • Patent number: 11866592
    Abstract: The disclosure relates to ink compositions for digital printing on an external surface of a plastic article. The ink compositions comprise an ink removal-promoting additive. In some aspects, the ink removal-promoting additive can facilitate the separation or loosening of the image from the external surface of the article when the image is exposed to a liquid-based solution at an elevated temperature. Also disclosed are recyclable plastic articles having an external surface with an image printed thereon using the disclosed ink composition and methods for removing cured ink from a plastic container. This abstract is intended as a scanning tool for purposes of searching in the particular art and is not intended to be limiting of the present invention.
    Type: Grant
    Filed: August 29, 2022
    Date of Patent: January 9, 2024
    Assignee: Plastipak Packaging, Inc.
    Inventors: Ronald L. Uptergrove, Jennifer L. Renner
  • Patent number: 11826985
    Abstract: Improved aluminum can end stock (CES) is disclosed. The CES includes an adhered polymer coating exhibiting low feathering and high performance in various acid tests. The low feathering and resistance to acid tests is accomplished by incorporating a copolymer adhesion promoter film to an aluminum alloy before lamination. In some cases, the metal strip is pretreated with a conversion layer, which can include compounds of trivalent chromium (Cr(III)) and phosphates or titanium and zirconium.
    Type: Grant
    Filed: December 20, 2019
    Date of Patent: November 28, 2023
    Assignee: Novelis Inc.
    Inventors: Peter Spahn, Christian Tussing, Michael Heinemann, Dhiren Bhupatlal Ruparelia, Joerg Hoehne
  • Patent number: 11756805
    Abstract: A system for removing flux from openings formed in a substrate that has openings (e.g., sized 20 microns or less) formed therein includes a spay nozzle device that has a spray nozzle arm that is formed at an angle of about 45 degrees or less for discharging fluid towards the openings in the substrate for flux removal. The angle is between about 30 degrees and 45 degrees.
    Type: Grant
    Filed: December 10, 2020
    Date of Patent: September 12, 2023
    Assignee: VEECO INSTRUMENTS INC.
    Inventors: John Taddei, Kenji Nulman, Jonathan Fijal, Phillip Tyler, Ian Rafter
  • Patent number: 11662667
    Abstract: The present application provides an exposure machine, relates to semiconductor integrated circuit manufacturing technologies. The exposure machine includes a machine platform, a shielding device, and a drive device; the machine platform is provided with a recess portion, the recess portion has a top opening, a base and a placement table are disposed in the recess portion, the placement table is configured to carry a mask carrier, and the mask carrier can be placed on the placement table through the top opening; and the machine platform is further provided with a drive device and a movable shielding device, when the shielding device is at an initial position, the shielding device covers the top opening, and when the mask carrier needs to be placed on the placement table through the top opening, the drive device opens the shielding device to expose the top opening.
    Type: Grant
    Filed: June 17, 2021
    Date of Patent: May 30, 2023
    Assignee: CHANGXIN MEMORY TECHNOLOGIES, INC.
    Inventor: Bo Liu
  • Patent number: 11649735
    Abstract: A method of cleaning a component within a turbine that includes disassembling the turbine engine to provide a flow path to an interior passageway of the component from an access point. The component has coked hydrocarbons formed thereon. The method further includes discharging a flow of cleaning solution towards the interior passageway from the access point, wherein the cleaning solution is configured to remove the coked hydrocarbons from the component.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: May 16, 2023
    Assignee: General Electric Company
    Inventors: Michael Robert Millhaem, Nicole Jessica Tibbetts, Byron Andrew Pritchard, Jr., Bernard Patrick Bewlay, Keith Anthony Lauria, Ambarish Jayant Kulkarni, Mark Rosenzweig, Martin Matthew Morra, Timothy Mark Sambor, Andrew Jenkins
  • Patent number: 11542437
    Abstract: The thermochemical conversion of biomass material to one or more reaction products includes generating thermal energy with at least one heat source, providing a volume of feedstock, providing a volume of supercritical fluid, transferring a portion of the generated thermal energy to the volume of supercritical fluid, transferring at least a portion of the generated thermal energy from the volume of supercritical fluid to the volume of feedstock, and performing a thermal decomposition process on the volume of feedstock with the thermal energy transferred from the volume of supercritical fluid to the volume of the feedstock in order to form at least one reaction product.
    Type: Grant
    Filed: September 25, 2020
    Date of Patent: January 3, 2023
    Assignee: TerraPower, LLC
    Inventors: Manuel Garcia-Perez, Joshua C. Walter
  • Patent number: 11476134
    Abstract: Systems and methods are described for integrated decomposition and scanning of a semiconducting wafer, where a single chamber is utilized for decomposition and scanning of the wafer of interest.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: October 18, 2022
    Assignee: Elemental Scientific, Inc.
    Inventors: Tyler Yost, Daniel R. Wiederin, Beau Marth, Jared Kaser, Jonathan Hein, Jae Seok Lee, Jae Min Kim, Stephen H. Sudyka
  • Patent number: 11408080
    Abstract: The present invention relates to a method of cleaning pretreatment of ferrous components that have been joined by welding, in which residues from the welding operation are removed from the surface of the component and, in this way, subsequent wet-chemical conversion treatments are enabled so as to produce defect-free coatings. For cleaning pretreatment, the component is contacted with an aqueous sulfuric acid etchant which comprises amino alcohols, ethoxylates and/or propoxylates of fatty alcohols having 6 to 12 carbon atoms in the alcohol and iron ions, and is effective without the presence of fluorides. In a further aspect, the present invention encompasses an aqueous acidic etchant for cleaning pretreatment of ferrous components that have been joined by welding.
    Type: Grant
    Filed: November 19, 2018
    Date of Patent: August 9, 2022
    Assignee: Henkel AG & Co. KGaA
    Inventors: Christoph Sturm, Wilfried Serve, Stefan Dietz, Thomas John-Schillings
  • Patent number: 11401619
    Abstract: A method of applying a protective coating with improved adhesion on an aluminum alloy component includes first pretreating the surface of a component by depositing a sacrificial protective immersion layer using a zincating or similar process. Portions of the protective immersion layer as well as portions of the underlying aluminum alloy substrate are then electrolytically etched off in an ionic liquid. A protective aluminum coating is then electrodeposited on the component in an ionic liquid.
    Type: Grant
    Filed: May 11, 2018
    Date of Patent: August 2, 2022
    Assignee: UNITED TECHNOLOGIES CORPORATION
    Inventors: Lei Chen, Xiaomei Yu, Mark R. Jaworowski
  • Patent number: 11289232
    Abstract: A chemical decontamination reagent containing a reducing agent, a reductive metal ion, and an inorganic acid is provided to remove a radioactive oxide layer on a metal surface. The reagent can dissolve the radioactive oxide layer on the metal surface effectively at a relatively low temperature and enables a simple process of contacting the reagent to the radioactive oxide, thus economically effective in terms of cost and time required for the process. Since the decontamination does not use a conventional organic chelating agent such as oxalic acid, but the reducing agent as a main substance, the residuals of the reducing agent remained after decontamination can be decomposed and removed with an oxidizing agent. Due to the easy decomposition with the chemical decontamination reagent, secondary wastes can be minimized and the radionuclides remained in the decontamination reagent solution can be removed effectively.
    Type: Grant
    Filed: August 14, 2018
    Date of Patent: March 29, 2022
    Assignees: KOREA ATOMIC ENERGY RESEARCH INSTITUTE, KOREA HYDRO AND NUCLEAR POWER CO., LTD.
    Inventors: Hui-Jun Won, Chong-Hun Jung, Sang Yoon Park, Wangkyu Choi, Jung-Sun Park, Jeikwon Moon, In-Ho Yoon, Byung-Seon Choi
  • Patent number: 10579108
    Abstract: A case, an accessory or component and a system for wirelessly pairing, storing and charging a camera to a handheld electronic device, such as a smartphone. A software application on the device controls the camera. In one embodiment, the case provides a telescopic rod to elevate the camera. In another embodiment, the telescopic rod and camera are components of the smartphone. In a further embodiment, the telescopic rod attaches to the smartphone directly. The camera is selectively detachable and attachable to a user or other object for hands-free operation once the software app is engaged. The component or the accessory, the camera, the electronic device and the app comprise a system for capturing, streaming and saving video and photos by expanding the reach of the camera function of the electronic device.
    Type: Grant
    Filed: April 23, 2019
    Date of Patent: March 3, 2020
    Assignee: Case Cam LLC
    Inventor: Angelo Dilaura
  • Patent number: 10515820
    Abstract: Techniques are provided to remove the growth of colloidal silica deposits on surfaces of high aspect ratio structures during silicon nitride etch steps. A high selectivity overetch step is used to remove the deposited colloidal silica. The disclosed techniques include the use of phosphoric acid to remove silicon nitride from structures having silicon nitride formed in narrow gap or trench structures having high aspect ratios in which formation of colloidal silica deposits on a surface of the narrow gap or trench through a hydrolysis reaction occurs. A second etch step is used in which the hydrolysis reaction which formed the colloidal silica deposits is reversible, and with the now lower concentration of silica in the nearby phosphoric acid due to the depletion of the silicon nitride, the equilibrium drives the reaction in the reverse direction, dissolving the deposited silica back into solution.
    Type: Grant
    Filed: March 23, 2017
    Date of Patent: December 24, 2019
    Assignee: Tokyo Electron Limited
    Inventors: Derek Bassett, Wallace P. Printz, Antonio L. P. Rotondaro, Teruomi Minami, Takahiro Furukawa
  • Patent number: 10015889
    Abstract: A method for constructing an external circuit structure is provided. The method is applied to an inner circuit substrate, wherein, the method comprises: laminating a copper foil and a prepreg on the inner circuit substrate; wherein, the prepreg is laminated between the copper foil and the inner circuit substrate; drilling at least one blind via from the copper foil to reach the copper circuit of the inner circuit substrate; removing smear generated in the at least one blind via during the drilling process; corroding off the copper foil; electroless copper plating on the prepreg to form an electroless plating copper layer on the prepreg; wherein, during the electroless copper plating process, a swelling process without desmearing process is implemented.
    Type: Grant
    Filed: June 27, 2014
    Date of Patent: July 3, 2018
    Assignee: National Center for Advanced Packaging Co., Ltd.
    Inventors: Zhongyao Yu, Yu Sun, Zhidan Fang
  • Patent number: 9987705
    Abstract: Resistance spot welding of a steel workpiece to an aluminum or an aluminum alloy workpiece can be facilitated by replacing the refractory aluminum oxide-based layer(s) on at least the faying surface of the aluminum or aluminum alloy workpiece with a protective coating that is more conducive to the spot welding process. The protective coating may be a metallic coating or a metal oxide conversion coating. In a preferred embodiment, the protective coating is a coating of zinc, tin, or an oxide of titanium, zirconium, chromium, or silicon.
    Type: Grant
    Filed: May 2, 2014
    Date of Patent: June 5, 2018
    Assignee: GM Global Technology Operations LLC
    Inventors: David R. Sigler, Blair E. Carlson, Mahmoud H. Abd Elhamid
  • Patent number: 9861102
    Abstract: The present disclosure relates to methods and system for disinfecting surfaces within an area by forming peracids in a reaction layer in situ on the surfaces to be disinfected. Aqueous compositions comprising peracid reactant compounds, particularly hydrogen peroxide and acetic acid, are sequentially dispersed into the area, preventing peracids from being formed until the two peracid reactant compounds contact each other on the surface to be disinfected. Additionally, aqueous compositions containing peracid reactant compounds can further comprise ethanol to both decrease the surface tension of the droplets and enhance the reactants' biocidal activity.
    Type: Grant
    Filed: January 6, 2017
    Date of Patent: January 9, 2018
    Assignee: Markesbery Blue Pearl LLC
    Inventors: W. Russell Markesbery, Eugene J. Pancheri
  • Patent number: 9791430
    Abstract: A method for determining the total organic carbon in a sample which includes mixing the sample with a reagent containing at least one acid effective for reacting with inorganic carbon-containing materials in the sample, and at least one oxidizing agent effective for oxidizing organic carbon-containing materials in the sample in the presence of ultraviolet radiation, and detecting the carbon dioxide generated, is described. The at least one acid may include phosphoric acid, while the oxidizing agent may include sodium persulfate. In accordance with an embodiment of the inventive concept, the sample is first injected into a reaction chamber, which is continuously flushed with carbon dioxide free gas with no UV light present, and CO2 generated from any inorganic carbon in the sample as carbonates is flowed through the detector, and may be recorded.
    Type: Grant
    Filed: May 26, 2015
    Date of Patent: October 17, 2017
    Assignee: HACH COMPANY
    Inventor: Ramon Hammerschmidt
  • Patent number: 9740094
    Abstract: A method of cleaning a photomask is disclosed. The method includes mixing a first chemical solution with a second chemical solution; and discharging the mixed chemical solution through an outlet of a nozzle to a surface of the photomask on which includes a ruthenium (Ru) layer, wherein the first chemical solution is configured to dislodge contaminant particles from the surface of the photomask and the second chemical solution is configured to provide an electron to the first chemical solution.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: August 22, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Kuan-Wen Lin, Chi-Lun Lu, Ching-Wei Shen, Shu-Hsien Wu
  • Patent number: 9641244
    Abstract: Opto-electronic measuring arrangement which is largely independent of extraneous light, comprising emitted and compensation light sources, which emit light time-sequentially and in a phased manner, wherein the emitted light is phase-shifted respectively by 180°. An optical receiver receives the light emitted by the emitted light source and reflected by the object being measured together with the light from the compensation light source. The actuation signals for the emitted and compensation light sources are controlled such that the synchronous signal difference occurring in the receiver between the different phases is reduced to zero. The optical coupling between the compensation light source and the receiver diode occurs mainly via an optical system in a printed circuit board on which the compensation light source and the receiver are arranged. The printed circuit board itself, i.e. the FR4 component thereof, may constitute the optical conductor between the compensation light source and receiver diode.
    Type: Grant
    Filed: August 1, 2014
    Date of Patent: May 2, 2017
    Assignee: Mechaless Systems GmbH
    Inventor: Erhard Schweninger
  • Patent number: 9390822
    Abstract: The present invention provides an oxidative decontamination reagent for removal of the dense radioactive oxide layer on a metal surface, which comprises an oxidizing agent, a metal ion, and an inorganic acid. The oxidative decontamination reagent of the present invention is characteristically prepared by adding a metal ion to the conventional oxidative decontamination reagent containing an oxidizing agent and an inorganic acid. When the oxidative decontamination reagent of the present invention is used, electric potential of the metal parts of the primary system of the nuclear power plant can be regulated as passive potential owing to the added metal ion during the oxidative decontamination of the primary metal part of the nuclear power plant. Therefore, by maintaining electric potential of the metal part as passive potential, local corrosion can be inhibited and at the same time secondary waste can be significantly reduced.
    Type: Grant
    Filed: June 13, 2014
    Date of Patent: July 12, 2016
    Assignee: Korea Atomic Energy Research Institute
    Inventors: Sang Yoon Park, Hui-Jun Won, Wangkyu Choi, Jeikwon Moon, Chong-Hun Jung, In-Ho Yoon, Jun-Young Jung
  • Patent number: 9347139
    Abstract: A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer with one or more chemical removal agents to remove at least a portion of the metal protective layer from the reactor component. A method of removing a metal protective layer from a surface of a reactor component comprising treating the metal protective layer to remove the metal protective layer from the reactor component, and determining a thickness of the reactor component following treatment.
    Type: Grant
    Filed: July 31, 2013
    Date of Patent: May 24, 2016
    Assignee: Chevron Phillips Chemical Company LP
    Inventors: Dennis L. Holtermann, Tin-Tack Peter Cheung, Christopher D. Blessing, Lawrence E. Huff, Joseph Bergmeister, III, Robert L. Hise, Geoffrey E. Scanlon, David W. Dockter
  • Patent number: 9293352
    Abstract: In a substrate processing apparatus (1), a silicon oxide film on a main surface of a substrate (9) is removed in an oxide film removing part (4) and then a silylation material is applied to the main surface, to thereby perform a silylation process in a silylation part (6). It is thereby possible to lengthen the Q time from the removal of the silicon oxide film to the formation of the silicon germanium film and reduce the temperature for prebaking in the formation of the silicon germanium film.
    Type: Grant
    Filed: August 21, 2012
    Date of Patent: March 22, 2016
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Akio Hashizume, Yuya Akanishi
  • Patent number: 9252010
    Abstract: A method used for processing a structure in manufacturing of a semiconductor device may include polishing the structure to form a polished structure. The polished structure may include a metal member, a dielectric layer that contacts the metal member, and a particle that contacts at least one of the metal member and the dielectric layer. The method may further include applying an organic acid to the polished structure to remove at least a portion of the particle. The particle may be substantially removed, such that satisfactory quality of the semiconductor may be provided.
    Type: Grant
    Filed: February 13, 2014
    Date of Patent: February 2, 2016
    Assignee: SEMICONDUCTOR MANUFACTURING INTERNATIONAL (SHANGHAI) CORPORATION
    Inventors: Lily Jiang, Cindy Li
  • Publication number: 20150144160
    Abstract: The present invention provides an etchant which is a reaction product of sulfuric acid and ammonium persulfate, wherein the concentration of the ammonium persulfate is 1˜25%, the concentration of the sulfuric acid is 98%. The etchant is produced by adding the ammonium persulfate into the sulfuric acid at a temperature of 100 to 200 degree Celsius. According to the present invention, the ammonium persulfate substitute the conventional oxidizing agent of hydrogen peroxide. Since the ammonium persulfate is in a powder form, the operation becomes more convenient. Furthermore, since sulfuric acid is formed as a by-product instead of water, the etchant will not be diluted after being used for many times, which reduces the production cost.
    Type: Application
    Filed: March 27, 2013
    Publication date: May 28, 2015
    Inventors: Sally Ann Henry, Jintao Huang, Jia Ma, Yi Wu, Yujia Su
  • Patent number: 9028620
    Abstract: Embodiments of the invention generally relate to a method for selectively etching or otherwise removing copper or other metallic contaminants from a substrate, such as a gallium arsenide wafer. In one embodiment, a method for selectively removing metallic contaminants from a substrate surface is provided which includes exposing a substrate to a peroxide clean solution, exposing the substrate to a hydroxide clean solution, and exposing the substrate to a selective etch solution containing potassium iodide, iodine, sulfuric acid, and water during a selective etch process. The substrate generally contains gallium arsenide material, such as crystalline gallium arsenide, and is usually a growth substrate for an epitaxial lift off (ELO) process. The copper or other metallic contaminants disposed on the substrate may be selectively etched at a rate of about 500 times, about 1,000 times, about 2,000 times, or about 4,000 times or greater than the gallium arsenide material.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: May 12, 2015
    Assignee: AWBSCQEMGK, Inc.
    Inventor: Melissa Archer
  • Patent number: 9023312
    Abstract: Process and apparatus is disclosed for providing a chemical reaction between calcium oxide containing grit particles to produce calcium hydroxide and heat, capturing the heat of hydration and using it to preheat water initially at ambient temperature, to rise to an elevated temperature to increase the amount of lime present in the water to a supersaturated lime suspension level, with the chemical reaction running to completion, followed by cooling. Heat from a water jacket may be used to raise the temperature in the lime slaker. A process and apparatus is also provided for dissolving scale on internal surfaces of a lime slaker, a lime aging tank, grit separation device and piping and dosing sub-systems, by adding acid into the system with rinse water. A pressurized delivery system that is substantially closed to atmosphere delivers treating dosing under sufficient pressure conditions to maintain a relatively constant back pressure, by means of valving.
    Type: Grant
    Filed: March 4, 2011
    Date of Patent: May 5, 2015
    Assignee: RDP Technologies, Inc.
    Inventors: Richard W. Christy, Michael Quici, Louis Litz
  • Publication number: 20150114432
    Abstract: A substrate processing method that is a method for removing a resist, the surface layer of which has been cured, from a substrate having a pattern disposed inside the resist and includes an SPM supplying step of supplying an SPM, formed by mixing sulfuric acid and a hydrogen peroxide solution, to the substrate and a liquid temperature increasing step of changing, in parallel to the SPM supplying step, a mixing ratio of the sulfuric acid and the hydrogen peroxide solution used to form the SPM to increase the liquid temperature of the SPM supplied to the substrate in the SPM supplying step.
    Type: Application
    Filed: October 21, 2014
    Publication date: April 30, 2015
    Inventors: Keiji IWATA, Sei NEGORO, Tomohiro UEMURA, Yuji SUGAHARA
  • Publication number: 20150053239
    Abstract: According to one embodiment, a wafer carrier cleaning method is provided. The wafer carrier cleaning method includes cleaning a wafer carrier with a chemical solution containing a weak acid that can dissolve metals, and cleaning the wafer carrier cleaned with the chemical solution, with pure water. The weak acid contained in the chemical solution is preferably citric acid that can dissolve heavy metals and does not damage the wafer carrier.
    Type: Application
    Filed: February 28, 2014
    Publication date: February 26, 2015
    Applicant: KABUSHIKI KAISHA TOSHIBA
    Inventors: Yasushige ABE, Hidekazu TANIGUCHI, Masanobu KIBE, Kosuke MIYAMOTO
  • Patent number: 8961699
    Abstract: A method for operating a water-conducting domestic appliance, including a domestic dishwasher, wherein the water-conducting domestic appliance includes a program controller for performing a plurality of sequential program steps and the program controller operatively interacts with at least a detergent-dosing system, the method including the step of adding at least one cleaning substance having a function during at least one program step.
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: February 24, 2015
    Assignee: BSH Bosch und Siemens Hausgeraete GmbH
    Inventors: Egbert Classen, Michael Fauth, Caroline Heiligenmann, Helmut Jerg, Kai Paintner
  • Patent number: 8956464
    Abstract: Methods for cleaning polymeric microfiltration or ultrafiltration membranes. The membrane may be contacted with a first cleaning solution including at least one oxidising agent. A second cleaning solution including at least one reducing agent may then be introduced to the membrane and first cleaning solution. The oxidising and reducing agents may undergo a neutralisation reaction to form an oxidation-neutral mixed cleaning solution. The membrane may be simultaneously cleaned during the neutralisation reaction.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: February 17, 2015
    Assignee: Evoqua Water Technologies LLC
    Inventors: Peter Zauner, Fufang Zha
  • Publication number: 20150044839
    Abstract: A photoresist stripping and cleaning composition free from N-alkylpyrrolidones and added quaternary ammonium hydroxides comprising a component (A) which comprises the polar organic solvents N-methylimidazole, dimethylsulfoxide and 1-aminopropane-2-ol.
    Type: Application
    Filed: March 18, 2013
    Publication date: February 12, 2015
    Applicant: BASF SE
    Inventors: Simon Braun, Christian Bittner, Andreas Klipp
  • Patent number: 8932408
    Abstract: A method for cleaning a surface of a plate-like article includes the steps of: treating the surface with free flow cleaning, wherein liquid is dispensed through a dispense nozzle onto the surface in a continuous liquid flow, and treating the surface with spray cleaning, wherein liquid is directed through a spray nozzle towards the surface in form of droplets. The surface is treated with a spray cleaning step before the free flow cleaning step and a spray cleaning step after the free flow cleaning step.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: January 13, 2015
    Assignee: Lam Research AG
    Inventor: Reinhard Sellmer
  • Publication number: 20150000704
    Abstract: A method of cleaning a substrate such as semiconductor substrate for IC fabrication is described that includes cleaning the semiconductor substrate with a first mixture of ozone and one of an acid and a base, followed by a second mixture of ozone and the other one of the acid and the base. The cleaning mixtures may further include de-ionized water. In an embodiment, the mixture is sprayed onto a heated substrate surface. The acid may be HF; the base may be NH4OH.
    Type: Application
    Filed: September 18, 2014
    Publication date: January 1, 2015
    Inventors: Ming-Hsi Yeh, Sung-Hsun Wu, Chao-Cheng Chen, Syun-Ming Jang, Bo-Wei Chou
  • Patent number: 8920577
    Abstract: A method of treating a substrate comprises, in one aspect, placing a substrate having material on a surface thereof in a treatment chamber; directing a stream of a liquid treatment composition to impinge the substrate surface; and directing a stream of water vapor to impinge the substrate surface and/or to impinge the liquid treatment composition. A preferred aspect of this invention is the removal of materials, and preferably photoresist, from a substrate, wherein the treatment composition is a liquid sulfuric acid composition comprising sulfuric acid and/or its desiccating species and precursors.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: December 30, 2014
    Assignee: Tel FSI, Inc.
    Inventors: David DeKraker, Jeffery W. Butterbaugh, Richard E. Williamson
  • Patent number: 8920569
    Abstract: A method for quickly removing pollutants adhered to a predetermined optical member in an optical system. To remove the pollutants adhered to a lens (32A) disposed at the upper end of a projection optical system (PL), a cylindrical protecting member (53) is disposed through the openings in a reticle stage (22) and a reticle base (23). The bottom surface of a support section (56) at the tip section of a rod section (58) is brought into contact with the surface of the lens (32A) through the inner surface of the protecting member (53). A wiping cloth soaked with a washing solution containing hydrofluoric acid is attached to the bottom surface of the support section (56). The support section (56) is reciprocated via the rod section (58) to remove the pollutants on the lens (32A) with the wiping cloth.
    Type: Grant
    Filed: June 1, 2005
    Date of Patent: December 30, 2014
    Assignee: Nikon Corporation
    Inventors: Shunji Watanabe, Masato Hamatani, Tatsuya Kitamoto
  • Publication number: 20140332034
    Abstract: A method of treating a substrate comprises removing material from a substrate using a treatment protocol to provide a treated substrate followed by a rinsing step. In the rinsing step at least one stream comprising a rinsing fluid is introduced and water vapor is caused to collide with and atomize the rinsing fluid. The atomized rinsing fluid is caused to rinsingly contact the treated substrate.
    Type: Application
    Filed: April 17, 2014
    Publication date: November 13, 2014
    Applicant: TEL FSI, Inc.
    Inventors: Jeffrey M. Lauerhaas, Don Kahaian
  • Patent number: 8876979
    Abstract: A recyclable article having an external surface with an image printed thereon by droplets of ink is provided. The droplets of ink comprise a composition that includes a hydrophilic component. In embodiments, the hydrophilic component can facilitate the separation or loosening of the image from the external surface of the container when the image is exposed to a liquid-based solution at an elevated temperature. Methods for facilitating recycling of recyclable articles having printed images are also disclosed.
    Type: Grant
    Filed: June 24, 2011
    Date of Patent: November 4, 2014
    Assignee: Plastipak Packaging, Inc.
    Inventors: Ronald L. Uptergrove, Brent Mrozinski
  • Patent number: 8876978
    Abstract: An object is to reduce changes in mechanical properties of a gas turbine blade base material during repair or regeneration of a gas turbine blade. For this purpose, a gas turbine blade after being operated is washed by being immersed into a strong alkaline washing solution, and the gas turbine blade after being washed with the strong alkaline washing solution is washed with water. The gas turbine blade after being washed with water is then washed by being immersed into a weak acid washing solution, and the gas turbine blade after being washed with the weak acid washing solution is subjected to heat treatment. The gas turbine blade after the heat treatment is then immersed into a strong acid washing solution, whereby the coating formed on the surface of the gas turbine blade is removed.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: November 4, 2014
    Assignee: Mitsubishi Heavy Industries, Ltd.
    Inventors: Tetsuji Kawakami, Ikumasa Koshiro, Rumi Haruna, Yoshitaka Uemura
  • Patent number: 8864915
    Abstract: A method of processing a substrate having a transparent conductive oxide disposed thereon, including: exposing the substrate to a first cleaning solution comprising hydrogen peroxide and ammonium citrate; exposing the substrate to a second cleaning solution having a pH within a range from about 6 to about 7, the second cleaning solution different than the first cleaning solution; agitating the second cleaning solution; and depositing a silicon-containing film on the transparent conductive oxide.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: October 21, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Renhe Jia, Adam Brand, Liming Zhang, Dapeng Wang, Tzay-Fa Su, Vijay Parihar
  • Publication number: 20140261567
    Abstract: A warewashing detergent composition is provided for use for in cleaning of alkaline sensitive metals such as aluminum or aluminum containing alloys. The compositions include alternatives to sodium tripolyphosphate and/or other phosphorus containing raw materials, while retaining cleaning performance and corrosion prevention. According to the invention, a phosphinosuccinate oligomer of mixture thereof is used as a corrosion inhibitor and can be included for aluminum protection in a number of different detergent compositions.
    Type: Application
    Filed: March 15, 2013
    Publication date: September 18, 2014
    Applicant: ECOLAB USA INC.
    Inventor: Altony J. Miralles
  • Publication number: 20140238445
    Abstract: Methods employing detergent compositions comprising phosphinosuccinic acid oligomers (PSO) in combination with a sanitizing rinse aid are disclosed. The methods beneficially clean, sanitize and rinse a surface in an efficient two-step process. The detergent compositions employ phosphinosuccinic acid adducts, namely mono-, bis- and oligomeric phosphinosuccinic acid (PSO) derivatives, in combination with an alkalinity source and optionally polymers and/or surfactants. The sanitizing and rinsing compositions employ peroxycarboxylic acid compositions in combination with a nonionic defoaming and wetting surfactant.
    Type: Application
    Filed: May 2, 2014
    Publication date: August 28, 2014
    Applicant: Ecolab USA Inc.
    Inventors: Jennifer Stokes, Carter M. Silvernail, Erik C. Olson, Steven J. Lange, Junzhong Li, Xin Sun, Allison Brewster, Richard Staub
  • Patent number: 8808465
    Abstract: This disclosure dramatically extends by a factor of 5 to 7, the effective service-life of steel shaving blades by chelating mineral molecules in common tap water, thus preventing them from accumulating and attaching to the blade surfaces.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: August 19, 2014
    Inventor: James Ronald Darnall
  • Patent number: 8801865
    Abstract: A method and device for wet treating a peripheral area of a wafer-shaped article uses rollers for driving the wafer-shaped article at its edge. First and second liquid treatment units supply liquid towards the peripheral area. Each of the liquid treatment units comprises a liquid carrier, a liquid supply nozzle for supplying liquid to the liquid carrier and a liquid discharging channel for removing liquid from the liquid carrier. The second liquid treatment unit includes a gas treatment section with a gas supply nozzle for removing most of the second liquid from the peripheral area, and with a gas discharge channel for discharging gas and removed liquid.
    Type: Grant
    Filed: November 12, 2008
    Date of Patent: August 12, 2014
    Assignee: Lam Research AG
    Inventors: Dieter Frank, Jurgen Parzefall, Alexander Schwarzfurtner
  • Patent number: 8801867
    Abstract: A method for cleaning process apparatus used for production of liquids, especially for cleaning filters, for example membrane filters. The apparatus is contacted with a solution of periodate. It is especially preferred that the cleaning process is carried out at a temperature between 15 and 95° C.
    Type: Grant
    Filed: January 26, 2010
    Date of Patent: August 12, 2014
    Assignee: X-Flow B.V.
    Inventors: Arie Cornelis Besemer, Elmar Van Mastrigt, André Mepschen
  • Patent number: 8784572
    Abstract: A method for cleaning platinum residues from a surface of a substrate is provided. The method initiates with exposing the surface to a first solution containing a mixture of nitric acid and hydrochloric acid. Then, the surface is exposed to a second solution containing hydrochloric acid.
    Type: Grant
    Filed: October 19, 2011
    Date of Patent: July 22, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Anh Duong, Sean Barstow, Olov Karlsson, Bei Li, James Mavrinac
  • Patent number: 8758520
    Abstract: Methods of acidic warewashing are disclosed. The compositions can include other materials including surfactants and chelating agents, and are preferably phosphorous free. Methods of using the acidic compositions are also disclosed. Exemplary methods include using the acidic compositions together with other compositions, including alkaline compositions and rinse aids employed in an alternating alkaline/acid/alkaline manner. The methods also include acidic compositions that serve multiple roles.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: June 24, 2014
    Assignee: Ecolab USA Inc.
    Inventors: Lee J. Monsrud, Michael S. Rischmiller, Daniel Osterberg, John Mansergh
  • Patent number: 8758521
    Abstract: A semiconductor substrate cleaning method includes cleaning a semiconductor substrate formed with a line-and-space pattern, rinsing the substrate, supplying the rinse water to rinse the substrate, and drying the substrate. The rinsing includes supplying deionized water and hydrochloric acid into a mixing section to mix the deionized water and the hydrochloric acid into a mixture, heating the mixture in the mixing section by a heater, detecting a pH value and a temperature of the mixture by a pH sensor and a temperature sensor respectively, adjusting an amount of hydrochloric acid supplied into the mixing section so that the rinse water has a predetermined pH value indicative of acidity, and energizing or de-energizing the heater so that the temperature of the mixture detected by the temperature sensor reaches a predetermined temperature, thereby producing the rinse water which has a temperature of not less than 70° C. and is acidic.
    Type: Grant
    Filed: July 28, 2010
    Date of Patent: June 24, 2014
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Yoshihiro Ogawa, Hajime Onoda, Hiroshi Kawamoto
  • Patent number: 8747567
    Abstract: A hard floor surface care process comprising a process of identifying, cleaning, polishing, and protecting manmade and natural stone hard floor surfaces having a single surface or multi-surface quality. The hard floor surface care process comprising an acid reactive or nonreactive hard floor surface identifying process; an emulsifying solution, agitating, and toweling cleaning process; a polishing process utilizing a lubricating solution with a polishing chemistry or pad, and a protecting process utilizing a protecting chemistry selected as a function of the identifying process.
    Type: Grant
    Filed: September 30, 2013
    Date of Patent: June 10, 2014
    Inventor: Steven D. Azevedo