One An Acid Or An Acid Salt Patents (Class 134/28)
  • Publication number: 20140150823
    Abstract: The present invention is generally directed toward methods of cleaning and descaling surfaces contaminated with food soils, especially clean-in-place systems. More particularly, the methods according to the present invention also provide for sanitizing of surfaces contaminated with food soils. Thus, there is provided a single cleaning cycle that may clean, sanitize, and descale food-soiled surfaces, and in certain embodiments, without the need for a pre-rinse step, using a non-chlorine detergent composition.
    Type: Application
    Filed: February 5, 2014
    Publication date: June 5, 2014
    Applicant: Delaval Holding AB
    Inventors: Fahim U. Ahmed, Bruno Van Den Bossche
  • Patent number: 8741071
    Abstract: A process for treating the surface of a substrate in the manufacture of a semiconductor device. The process comprises providing a concentrated acid or base, a peroxide and water, and delivering the acid or base, the peroxide and the water to the surface of the substrate. The acid or base and the water are delivered separately to the surface of the substrate and allowed to mix on the surface, and the water is delivered in pulses. The present invention also provides an apparatus adapted to carry out this process.
    Type: Grant
    Filed: January 9, 2008
    Date of Patent: June 3, 2014
    Assignee: Freescale Semiconductor, Inc.
    Inventor: Tony Vessa
  • Patent number: 8741066
    Abstract: A process/method for cleaning wafers that eliminates and/or reduces pitting caused by standard clean 1 by performing a pre-etch and then passivating the wafer surface prior to the application of the standard clean 1. The process/method may be especially useful for advanced front end of line post-CPM cleaning. In one embodiment, the invention is a method of processing a substrate comprising: a) providing at least one substrate; b) etching a surface of the substrate by applying an etching solution; c) passivating the etched surface of the substrate by applying ozone; and d) cleaning the passivated surface of the substrate by applying an aqueous solution comprising ammonium hydroxide and hydrogen peroxide.
    Type: Grant
    Filed: February 19, 2008
    Date of Patent: June 3, 2014
    Inventors: Ismail Kashkoush, Thomas Nolan, Dennis Nemeth, Richard Novak
  • Patent number: 8709167
    Abstract: A method of warewashing for the removal of starch is described herein. The method includes applying an alkaline composition to a dish, then applying an acidic composition to a dish, and then applying a second alkaline composition to the dish. The method may include additional steps. Compositions for using with the method are also disclosed. Finally, dish machines that may be used in accordance with the method are disclosed.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: April 29, 2014
    Assignee: Ecolab USA Inc.
    Inventors: Werner Strothoff, Winfried Troll, Helmut Maier, John P. Furber, Bryan A. Maser, Michael E. Besse
  • Patent number: 8691019
    Abstract: A process for cleaning a compound semiconductor wafer; the compound semiconductor wafer comprises, taking gallium arsenide (GaAs) as a representative, a group III-V compound semiconductor wafer. The process comprises the following steps: 1) treating the wafer with a mixture of dilute ammonia, hydrogen peroxide and water at a temperature not higher than 20° C.; 2) washing the wafer with deionized water; 3) treating the wafer with an oxidant; 4) washing the wafer with deionized water; 5) treating the wafer with a dilute acid solution or a dilute alkali solution; 6) washing the wafer with deionized water; and 7) drying the resulting wafer. The process can improve the cleanliness, micro-roughness and uniformity of the wafer surface.
    Type: Grant
    Filed: October 14, 2011
    Date of Patent: April 8, 2014
    Assignee: Beijing Tongmei Xtal Technology Co., Ltd.
    Inventors: Diansheng Ren, Qinghui Liu
  • Patent number: 8691023
    Abstract: In one aspect, a method of cleaning an electronic device manufacturing process chamber part is provided, including a) spraying the part with an acid; b) spraying the part with DI water; and c) treating the part with potassium hydroxide. Other aspects are provided.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: April 8, 2014
    Assignee: Quantum Global Technologies, LLP
    Inventors: Liyuan Bao, Samantha S. H. Tan, Anbei Jiang
  • Publication number: 20140090663
    Abstract: A hard surface cleaning composition comprising a malodor control component, and methods of cleaning hard surfaces are provided. In some embodiments, the hard surface cleaning composition comprises at least one volatile aldehyde and an acid catalyst.
    Type: Application
    Filed: December 5, 2013
    Publication date: April 3, 2014
    Applicant: The Procter & Gamble Company
    Inventors: Ricky Ah-Man WOO, Steven Anthony HORENZIAK, Rhonda Jean JACKSON, Zaiyou LIU, Michael-Vincent Nario MALANYAON, Jason John OLCHOVY, Christine Marie READNOUR
  • Patent number: 8685172
    Abstract: Processing and drying of a sample, such as a semiconductor or MEMS device, is performed in a single pressure chamber. The sample is sealed in the interior volume of the chamber, which has surfaces formed of a nickel-copper alloy. Hydroflouric acid (HF) is flowed into the sealed chamber to fill the interior volume and to contact the sample with HF. The HF is allowed to etch portions of the same for a desired time before removing the HF from the sealed chamber. After removal of the HF, the interior volume is cooled to a temperature less than 10° C. The sealed pressure chamber is filled with liquid carbon dioxide. The interior volume is then heated to a temperature greater than 31° C. and a pressure greater than 1072 psi (i.e., the critical point), after which gaseous carbon dioxide is exhausted from the sealed chamber to allow subsequent removal of the sample.
    Type: Grant
    Filed: May 1, 2013
    Date of Patent: April 1, 2014
    Inventor: Anastasios J. Tousimis
  • Patent number: 8685173
    Abstract: The present invention is generally directed toward methods of cleaning and descaling surfaces contaminated with food soils, especially clean-in-place systems. More particularly, the methods according to the present invention also provide for sanitizing of surfaces contaminated with food soils. Thus, there is provided a single cleaning cycle that may clean, sanitize, and descale food-soiled surfaces, and in certain embodiments, without the need for a pre-rinse step, using an acidic detergent composition comprising a fatty alkyl-1,3-diaminopropane or salt thereof in the presence of an acid selected from the group consisting of inorganic acids, organic acids, and mixtures thereof.
    Type: Grant
    Filed: July 16, 2009
    Date of Patent: April 1, 2014
    Assignee: DeLaval Holding AB
    Inventors: Fahim U. Ahmed, Bruno Van Den Bossche
  • Publication number: 20140069466
    Abstract: Methods employing detergent compositions effective for reducing soil redeposition and accumulation on hard surfaces are disclosed. The detergent compositions employ phosphinosuccinic acid adducts in combination with an alkalinity source and gluconic acid or salts thereof, copolymers of acrylic acid and maleic acids or salts thereof, sodium hypochlorite, sodium dichloroisocyanurate or combinations thereof.
    Type: Application
    Filed: August 13, 2013
    Publication date: March 13, 2014
    Applicant: ECOLAB USE INC.
    Inventors: Carter Martin Silvernail, John Mansergh, Erik C. Olson, David Dotzauer, Kent Brittain
  • Patent number: 8668777
    Abstract: Mixtures containing concentrated sulfuric acid used for stripping photoresist from semiconductor wafer, such as SOM and SPM mixtures, are more quickly removed from a wafer surface using another liquid also containing high concentration of sulfuric acid, with the second liquid furthermore containing controlled small amounts of fluoride ion. The second liquid renders the wafer surface hydrophobic, which permits easy removal of the sulfuric acid therefrom by spinning and/or rinsing.
    Type: Grant
    Filed: December 22, 2010
    Date of Patent: March 11, 2014
    Assignee: Lam Research AG
    Inventors: Harald Okorn-Schmidt, Dieter Frank, Franz Kumnig
  • Patent number: 8668779
    Abstract: A method of simultaneously cleaning and disinfecting an industrial water system is described and claimed. The method involves the addition to the water of the industrial water system of a Compound selected from the group consisting of the alkali salts of chlorite and chlorate and mixtures thereof; and an acid, followed by allowing the water in the industrial water system to circulate for several hours. The reaction of the alkali salts of chlorite and chlorate and acid produces chlorine dioxide in-situ in the water of the industrial water system. The chlorine dioxide kills microorganisms and the acid acts to remove deposits upon the water-contact surfaces of the equipment. This cleaning and disinfecting method works in a variety of industrial water systems including cooling water systems.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: March 11, 2014
    Assignee: Nalco Company
    Inventors: Andrew J. Cooper, Jasbir S. Gill, Amit Gupta, Robert F. Kelly, Douglas G. Kelley, Eric R. Myers
  • Patent number: 8657966
    Abstract: Embodiments of the current invention describe cleaning solutions to clean the surface of a photomask, methods of cleaning the photomask using at least one of the cleaning solutions, and combinatorial methods of formulating the cleaning solutions. The cleaning solutions are formulated to preserve the optical properties of the photomask, and in particular, of a phase-shifting photomask.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: February 25, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Nikhil D. Kalyankar, Chi-I Lang, Zachary Fresco
  • Patent number: 8652266
    Abstract: A system and method for removing polymer residue from around a metal gate structure formed on a surface of a substrate during a post-etch cleaning operation includes determining a plurality of process parameters associated with the metal gate structure and the polymer residue to be removed. A plurality of fabrication layers define the metal gate structure and the process parameters define characteristics of the fabrication layers and the polymer residue. A first cleaning chemistry and second cleaning chemistry are identified and a plurality of application parameters associated with the first and second cleaning chemistries are defined based on the process parameters. The first and second application chemistries are applied sequentially in a controlled manner using the application parameters to substantial remove the polymer residue while preserving the structural integrity of the gate structure.
    Type: Grant
    Filed: September 17, 2008
    Date of Patent: February 18, 2014
    Assignee: Lam Research Corporation
    Inventors: Katrina Mikhaylichenko, Yizhak Sabba, Dragan Podlesnik
  • Patent number: 8652267
    Abstract: There is disclosed a coated-type silicon-containing film stripping process for stripping off to remove a coated-type silicon-containing film obtained by coating a silicon-containing film composition used in a lithography on a substrate, comprising, at least: a first step of treating the silicon-containing film with an acidic stripping solution containing sulphate ion and/or fluoride ion; and a second step of treating the silicon-containing film with an alkaline stripping solution containing a nitrogen compound. There can be provided a process for allowing a silicon-containing film, which has not been conventionally removed unless dry stripping is adopted, to be removed by a stripping process based on a stripping solution (wet stripping).
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: February 18, 2014
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Tsutomu Ogihara, Takafumi Ueda, Toshiharu Yano, Shozo Shirai
  • Patent number: 8647445
    Abstract: Cleaning processes for cleaning semiconductor devices or semiconductor tooling during manufacture thereof generally include contacting the semiconductor devices or semiconductor tooling with an antioxidant to form an insoluble adduct followed by solubilizing the adduct with a basic aqueous cleaning solution.
    Type: Grant
    Filed: November 6, 2012
    Date of Patent: February 11, 2014
    Assignee: International Business Machines Corporation
    Inventors: Vishal Chhabra, John A. Fitzsimmons, Mahmoud Khojasteh, Jennifer Muncy
  • Patent number: 8647443
    Abstract: A method of cleaning an automatic biochemical analyzer, wherein the cleaning solution includes: at least one anionic surfactant, at least one nonionic surfactant, an alkali metal hydroxide, an alkali metal citrate, and a buffering agent stabilizing the pH value above 13.0. In some embodiments, the cleaning solution provides low residual rate of proteins, low residual rate of lipids, desirable within-batch repeatability in clinical testing, low level of cross-contamination, and low level of reactant deposit after cleaning, without affecting test results of the biochemical analyzer. In some embodiments, the cleaning solution has no corrosive effects on the liquid path and reaction cup of the analyzer. The ingredients of the cleaning solution may also be biodegradable.
    Type: Grant
    Filed: May 20, 2011
    Date of Patent: February 11, 2014
    Assignee: Shenzhen Mindray Bio-Medical Electronics Co., Ltd.
    Inventors: Yuping Zhang, Mulong Liu, Wenjuan Xu, Jun Cheng
  • Patent number: 8641829
    Abstract: Disclosed is a substrate processing system, including: a processing chamber to process a substrate; a vaporizing unit to vaporize a material of liquid; a supply system to supply the processing chamber with gas of the material vaporized by the vaporizing unit; an exhaust system to exhaust an atmosphere in the processing chamber; and a cleaning liquid supply system to supply the vaporizing unit with cleaning liquid for cleaning a product deposited in the vaporizing unit, wherein the cleaning liquid supply system supplies at least two kinds of cleaning liquids into the vaporizing unit so that the product can be removed from the vaporizing unit by action of the two kinds of cleaning liquids on the product.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: February 4, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomoki Horita, Kazuhiro Hirahara, Hironobu Miya, Atsuhiko Suda, Hirohisa Yamazaki
  • Publication number: 20130340796
    Abstract: The present disclosure provides a substrate processing apparatus including: a substrate processing chamber configured to process a substrate on which a target layer to be removed is formed on the surface of an underlying layer; a substrate holding unit provided in the substrate processing chamber and configured to hold the substrate; a mixed liquid supplying unit configured to supply a mixed liquid of sulfuric acid and hydrogen peroxide to the substrate held by the substrate holding unit in a mixing ratio of the hydrogen peroxide and a temperature that does not damage the underlying layer while removing the target layer; and an OH-group supplying unit configured to supply a fluid containing OH-group to the substrate in an amount that does not damage the underlying layer when the mixed liquid and the OH-group are mixed on the substrate.
    Type: Application
    Filed: June 13, 2013
    Publication date: December 26, 2013
    Inventors: Hisashi Kawano, Norihiro Ito, Yosuke Hachiya, Jun Nogami, Kotaro Ooishi, Itaru Kanno
  • Patent number: 8591663
    Abstract: A method for removing corrosion products from a system, the method including: adjusting the system temperature to between 115° F. to 212° F.; injecting a cleaning dissolution solvent into the system; injecting a gas into the system after the system is filled with the cleaning dissolution solvent; the gas mixing with the solvent in the system; draining the solvent from the system after a predetermined period of time of dissolution; injecting a passivation composition into the system; injecting a gas into the system, the gas mixing the passivation composition; draining the system of the composition after a predetermined period of time of passivation; rinsing the system with a low volume solution; and rinsing the system at with a full volume solution.
    Type: Grant
    Filed: November 25, 2009
    Date of Patent: November 26, 2013
    Assignee: Areva NP Inc
    Inventors: John Remark, Sidney Jones, Ray Beatty, Sarah Evans
  • Patent number: 8591662
    Abstract: A method for cleaning a substrate is provided. The method initiates with applying an activation solution to a surface of the substrate. The activation solution and the surface of the substrate are contacted with a surface of a solid cleaning surface. The activation solution is absorbed into a portion of the solid cleaning element and then the substrate or the solid cleaning surface is moved relative to each other to clean the surface of the substrate. A method for cleaning the surface of the substrate with a solid cleaning element that experiences plastic deformation is also provided. Corresponding cleaning apparatuses are also provided.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventors: Erik M. Freer, John deLarios, Katrina Mikhaylichenko, Michael Ravkin, Mikhail Korolik, Fred C. Redeker
  • Patent number: 8580046
    Abstract: Semiconductor wafers are treated in a liquid container filled at least partly with a solution containing hydrogen fluoride, such that surface oxide dissolves, are transported out of the solution along a transport direction and dried, and are then treated with an ozone-containing gas to oxidize the surface of the semiconductor wafer, wherein part of the semiconductor wafer surface comes into contact with the ozone-containing gas while another part of the surface is still in contact with the solution, and wherein the solution and the ozone-containing gas are spatially separated such that they do not come into contact with one another.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: November 12, 2013
    Assignee: Siltronic AG
    Inventors: Guenter Schwab, Diego Feijoo, Thomas Buschhardt, Hans-Joachim Luthe, Franz Sollinger
  • Patent number: 8574370
    Abstract: The present invention relates to the use of at least one alkane sulphonic acid, with formula R—SO3H, where R represents a saturated, linear or branched hydrocarbon chain, comprising 1 to 4 atoms of carbon for removing rust from all types of surface, in particular from metal surfaces, specifically iron, steel and others. The invention also relates to a method for cleaning rust from all types of surfaces using at least one alkane sulphonic acid.
    Type: Grant
    Filed: November 27, 2009
    Date of Patent: November 5, 2013
    Assignee: Arkema France
    Inventors: Jean-Alex Laffitte, Bernard Monguillon
  • Patent number: 8551252
    Abstract: Methods for removing residual particles from a substrate are presented including: receiving the substrate including the residual particles; and functionalizing the residual particles with functionalizing molecules, wherein the functionalizing molecules selectively attach with a surface the residual particles, where the functionalizing molecules impart a changed chemical characteristic to the residual particles, and where the changed chemical characteristic facilitates removal of the residual particles from the substrate. In some embodiments, methods further include: before functionalizing, cleaning the substrate, where the cleaning leaves residual particles adhered with a surface of the substrate, and where the residual particles are hydrophilic; and if the surface of the substrate is hydrophobic, performing the functionalizing.
    Type: Grant
    Filed: April 3, 2008
    Date of Patent: October 8, 2013
    Assignee: Intermolecular, Inc.
    Inventor: Zachary Fresco
  • Patent number: 8545638
    Abstract: A method of cleaning a food plant of the type which produces an aseptic product or a product with extended shelf life involves at least one circulation with an alkaline detergent solution and at least one circulation with an acidic cleaning solutions. The circulations take place alternatively with the alkaline detergent solution and the acidic cleaning solution. Prior to the first circulation, between each circulation and after the last circulation, rinsings with water take place. Simultaneously with the last circulation with alkaline detergent solution the plant is sterilized, and after the last circulation with the acidic cleaning solution the two last rinsings with water take place with sterile liquid.
    Type: Grant
    Filed: April 21, 2005
    Date of Patent: October 1, 2013
    Assignee: Tetra Laval Holdings & Finance SA
    Inventors: Klaus Bake, Roland Ringstrom
  • Patent number: 8545639
    Abstract: A method of cleaning a surface of a component of a plasma chamber, wherein the component has an aluminum or anodized aluminum surface, the method including the steps of: soaking the surface of the component in a diluted sulfuric peroxide (DSP) solution; spray rinsing the surface with water following removal of the surface from the DSP solution; soaking the surface in a dilute nitric acid (HNO3) solution; spray rinsing the surface with water following removal of the surface from the dilute nitric acid solution; and repeating at least twice the steps of soaking the surface in dilute nitric acid followed by spray rinsing the surface.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Hong Shih, John Daugherty, Dean J. Larson, Tuochuan Huang, Armen Avoyan, Jeremy Chang, Sivakami Ramanathan, Robert Anderson, Yan Fang, Duane Outka, Paul Mulgrew
  • Patent number: 8546016
    Abstract: A method for cleaning a semiconductor structure includes subjecting a semiconductor structure to an aqueous solution including at least one fluorine compound, and at least one strong acid, the aqueous solution having a pH of less than 1. In one embodiment, the aqueous solution includes water, hydrochloric acid, and hydrofluoric acid at a volumetric ratio of water to hydrochloric acid to hydrofluoric acid of 1000:32.5:1. The aqueous solution may be used to form a contact plug that has better contact resistance and improved critical dimension bias than conventional cleaning solutions.
    Type: Grant
    Filed: January 7, 2011
    Date of Patent: October 1, 2013
    Assignee: Micron Technology, Inc.
    Inventors: Sanjeev Sapra, Niraj Rana
  • Patent number: 8545635
    Abstract: A hard floor surface care process comprising a process of identifying, cleaning, polishing, and protecting manmade and natural stone hard floor surfaces having a single surface or multi-surface quality. The hard floor surface care process comprising an acid reactive or nonreactive hard floor surface identifying process; an emulsifying solution, agitating, and toweling cleaning process; a polishing process utilizing a lubricating solution with a polishing chemistry or pad, and a protecting process utilizing a protecting chemistry selected as a function of the identifying process.
    Type: Grant
    Filed: June 27, 2012
    Date of Patent: October 1, 2013
    Inventor: Steven D. Azevedo
  • Publication number: 20130239996
    Abstract: Processing and drying of a sample, such as a semiconductor or MEMS device, is achieved using a single pressure chamber. The pressure chamber holds the sample in a sealed interior volume throughout various process steps, such as, but not limited to, photoresist removal, sacrificial layer etching, flushing or rinsing, dehydration, and critical point drying. The pressure chamber is constructed of a chemically-resistant and pressure-resistant material to withstand the various chemicals and pressures that are encountered in the various process and drying steps. For example, the pressure chamber is constructed from a nickel-copper alloy. Automated release etching and critical point drying of a MEMS or semiconductor device is provided without removing the device from the sealed pressure chamber.
    Type: Application
    Filed: May 1, 2013
    Publication date: September 19, 2013
    Inventor: Anastasios J. TOUSIMIS
  • Publication number: 20130239995
    Abstract: A detergent composition as described which can be utilized in a variety of applications for cleaning surfaces and objects, removing suspended soils, and rinsing easily. The detergent composition is particularly effective at removing soils caused by raw fish soil.
    Type: Application
    Filed: May 29, 2012
    Publication date: September 19, 2013
    Applicant: ECOLAB USA INC.
    Inventors: Jenna M. Johnson, Victor F. Man, Kim R. Smith, Tomoko Nakabayashi
  • Patent number: 8529707
    Abstract: Provided is a liquid processing apparatus in which a target substrate is horizontally held on a substrate holding unit and rotated around a vertical shaft, and the chemicals are supplied from a chemical supplying unit to the bottom surface of the target substrate that is rotating. In particular, the liquid processing apparatus performs a first step in which the chemicals are supplied to the target substrate while rotating the target substrate at a first rotation speed, a second step in which the supply of the chemicals is halted and the chemicals are thrown off by rotating the target substrate at a second rotation speed higher than the first rotation speed, and a third step in which the rinse liquid is supplied to the target substrate while rotating the target substrate at a third rotation speed equal to or lower than the first rotation speed.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: September 10, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Hiromitsu Namba
  • Patent number: 8524006
    Abstract: A system and method are provided for reclaiming an enriched radioisotope starting material from a target body. The system and method enable reclaiming the starting material in a relatively short time (e.g., several hours) after the target body's bombardment with energetic particles, greatly simplifying the target body's chemical processing, as well as reducing the cost of such processing (e.g., reducing the need for costly long-term storage). Specifically, a chemical protective layer is disposed between a radioisotope starting material and a base material of the target body. After the target body is irradiated with a suitable source (e.g., particle accelerator), then the irradiated radioisotope starting material can be removed without removing the base material due to the protection provided by the chemical protective layer. The system and method also enable the operator to obtain three different radioisotopes in a single bombardment of the target body, further reducing cost of radioisotope production.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: September 3, 2013
    Assignee: Mallinckrodt LLC
    Inventor: William Claude Uhland
  • Patent number: 8506722
    Abstract: A method for cleaning a filtering membrane, contaminated by contaminants including inorganic and organic materials during a fluid-filtering process, is disclosed, the method comprises cleaning the filtering membrane by using a first cleaning solution of pH 6˜9 so as to remove the organic material from the filtering membrane; and cleaning the filtering membrane by using a second acid cleaning solution so as to remove the inorganic material from the filtering membrane, wherein the cleaning method of the present invention uses the first cleaning solution having pH 6˜9 instead of a strong-alkaline cleaning solution so as to prevent the filtering membrane from being damaged, and also uses the cleaning solution maintained at a relatively low temperature instead of hot water so as to improve economical efficiency by reduction of energy consumption.
    Type: Grant
    Filed: April 19, 2010
    Date of Patent: August 13, 2013
    Assignee: Kolon Industries Inc.
    Inventor: Kwang-Jin Lee
  • Publication number: 20130192649
    Abstract: The present invention relates to the acidic cleaning in the beer industry, and more particularly an improved process for acidic cleaning of the various elements and vessels that are used in the preparation of beer and other and other related fermented beverages, said cleaning being carried out by using a formulation comprising at least one alkane sulphonic acid.
    Type: Application
    Filed: March 13, 2013
    Publication date: August 1, 2013
    Applicant: ARKEMA FRANCE
    Inventor: Arkema France
  • Patent number: 8486201
    Abstract: Disclosed is a method for drying a plate-like article; the method including rinsing with an aqueous rinsing liquid with subsequent rinsing with an organic solvent, wherein the organic solvent has a water content of below 20 mass-% wherein the organic solvent is supplied at a solvent temperature, which is at least 30° C. and not higher than 60° C.
    Type: Grant
    Filed: July 1, 2010
    Date of Patent: July 16, 2013
    Assignee: Lam Research AG
    Inventor: Aurelia Plihon
  • Patent number: 8475668
    Abstract: Provided are a substrate liquid processing apparatus, a substrate liquid processing method, and a computer readable storage medium having a substrate liquid processing program stored therein that can prevent the occurrence of the electrostatic breakdown caused by the discharge of electric charges in a substrate. The substrate liquid processing apparatus processes a circuit-forming surface of the substrate with a chemical liquid. Furthermore, prior to processing the substrate with the chemical liquid, the substrate liquid processing apparatus performs an anti-static process for an surface opposite to the circuit-forming surface of the substrate by an anti-static liquid, thereby emitting the electric charges on the substrate.
    Type: Grant
    Filed: October 12, 2010
    Date of Patent: July 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Hiroshi Tanaka, Teruomi Minami, Yosuke Kawabuchi, Norihiro Ito, Fumihiro Kamimura, Takashi Yabuta, Kazuki Kosai, Takeshi Uno, Kenji Sekiguchi, Yasushi Fujii
  • Publication number: 20130161840
    Abstract: Back end of line (BEOL) stripping solutions which can be used in a stripping process that replaces etching resist ashing process are provided. The stripping solutions are useful for fabricating circuits and/or forming electrodes on semiconductor devices for semiconductor integrated circuits with good efficiency and with low and acceptable metal etch rates. Methods for their use are similarly provided. The preferred stripping agents contain a polar aprotic solvent, water, an amine and a quaternary hydroxide that is not tetramethylammonium hydroxide. Further provided are integrated circuit devices and electronic interconnect structures prepared according to these methods.
    Type: Application
    Filed: February 19, 2013
    Publication date: June 27, 2013
    Applicant: DYNALOY LLC
    Inventor: Dynaloy LLC
  • Publication number: 20130146102
    Abstract: The invention generally relates to concentrated warewashing compositions and methods of using the same. In some aspects, the invention uses concentrated compositions in methods of warewashing where the concentrate is applied directly to the article to be cleaned, rather than dispensed into a sump and applied to the article as a ready-to-use composition. In additional aspects, the methods of using highly concentrated alkaline and/or acid compositions in an alternating pattern of alkaline-acid-alkaline or acid-alkaline-acid, or the like, provide substantially similar or superior cleaning efficacy while reducing the overall consumption of the alkaline and/or acid compositions.
    Type: Application
    Filed: May 18, 2012
    Publication date: June 13, 2013
    Applicant: ECOLAB USA INC.
    Inventors: Lee J. Monsrud, Steven J. Lange, Altony J. Miralles, Michael S. Rischmiller
  • Patent number: 8460474
    Abstract: A method of cleaning semiconductor wafers using an acid cleaner followed by an alkaline cleaner to clean contaminants from the materials is provided. The acid cleaner removes substantially all of the metal contaminants while the alkaline cleaner removes substantially all of the non-metal contaminants, such as organics and particulate material.
    Type: Grant
    Filed: January 13, 2010
    Date of Patent: June 11, 2013
    Assignee: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Raymond Chan, Matthew L. Moynihan
  • Patent number: 8425688
    Abstract: The present invention relates to the acidic cleaning in the beer industry, and more particularly an improved process for acidic cleaning of the various elements and vessels that are used in the preparation of beer and other related fermented beverages, said cleaning being carried out by using a formulation comprising at least one alkane sulphonic acid.
    Type: Grant
    Filed: November 14, 2008
    Date of Patent: April 23, 2013
    Assignee: Arkema France
    Inventors: Jean-Alex Laffitte, Bernard Monguillon, Pierre Stachura
  • Patent number: 8419863
    Abstract: A method for removing painted markings is provided that includes the step of applying a paint removal agent to a painted marking on a surface. A covering may be applied to the paint removal agent. Further, a fluid may be applied to the covering as the covering covers the paint removal agent to effect removal of the painted marking, the paint removal agent, and the covering.
    Type: Grant
    Filed: August 26, 2011
    Date of Patent: April 16, 2013
    Inventor: Jesse Duane Johnson
  • Publication number: 20130084709
    Abstract: In a substrate processing apparatus, an anti-static liquid supply part supplies the anti-static liquid having electrical resistivity higher than that of an SPM liquid onto a substrate to puddle an entire upper surface of the substrate with the anti-static liquid, to thereby gradually remove static electricity from the substrate. Then, the processing liquid supply part supplies the SPM liquid onto the substrate to thereby perform an SPM process. In the SPM process, it is thereby possible to prevent a large amount of electric charges from rapidly moving from the substrate to the SPM liquid and prevent any damage to the substrate. Further, by maintaining the electrical resistivity of the anti-static liquid at the target electrical resistivity, it is possible to increase the static elimination efficiency of the substrate and shorten the time required for the static elimination process within the limits of causing no damage to the substrate.
    Type: Application
    Filed: September 27, 2012
    Publication date: April 4, 2013
    Inventors: Masahiro MIYAGI, Kazunori FUJIKAWA
  • Publication number: 20130068262
    Abstract: Semiconductor wafers are treated in a liquid container filled at least partly with a solution containing hydrogen fluoride, such that surface oxide dissolves, are transported out of the solution along a transport direction and dried, and are then treated with an ozone-containing gas to oxidize the surface of the semiconductor wafer, wherein part of the semiconductor wafer surface comes into contact with the ozone-containing gas while another part of the surface is still in contact with the solution, and wherein the solution and the ozone-containing gas are spatially separated such that they do not come into contact with one another.
    Type: Application
    Filed: November 13, 2012
    Publication date: March 21, 2013
    Applicant: SILTRONIC AG
    Inventor: SILTRONIC AG
  • Patent number: 8398781
    Abstract: A method of cleaning equipment such as heat exchangers, evaporators, tanks and other industrial equipment using clean-in-place procedures and a pre-treatment solution prior to the conventional CIP cleaning process. The pre-treatment step improves the degree of softening of the soil, and thus facilitates its removal. The pre-treatment solution can be a strong acidic solution, a strong alkaline solution, or comprise a penetrant. A preferred strong acidic solution is an acid peroxide solution. In some embodiments, the pre-treatment may include no strong alkali or acid ingredient; rather, the penetrant provides acceptable levels of pre-treatment.
    Type: Grant
    Filed: August 27, 2004
    Date of Patent: March 19, 2013
    Assignee: Ecolab USA Inc.
    Inventors: Brandon Leon Herdt, Peter J. Fernholz
  • Publication number: 20130056036
    Abstract: Processing and drying of a sample, such as a semiconductor or MEMS device, is achieved using a single pressure chamber. The pressure chamber holds the sample in a sealed interior volume throughout various process steps, such as, but not limited to, photoresist removal, sacrificial layer etching, flushing or rinsing, dehydration, and critical point drying. The pressure chamber is constructed of a chemically-resistant and pressure-resistant material to withstand the various chemicals and pressures that are encountered in the various process and drying steps. For example, the pressure chamber is constructed from a nickel-copper alloy. Automated release etching and critical point drying of a MEMS or semiconductor device is provided without removing the device from the sealed pressure chamber.
    Type: Application
    Filed: June 25, 2010
    Publication date: March 7, 2013
    Inventor: Anastasios J. Tousimis
  • Patent number: 8388761
    Abstract: When the inner surface of containers conveyed in an inverted posture is sterilized, the sterilization efficiency can be increased, the amount of sterilizing fluid used can be reduced, the sterilization time and washing time can be shortened, the number of drive components of the apparatus can be reduced and the apparatus can be simplified and reduced in cost. A non-inserted nozzle 20 is disposed at a distance of 1-50 mm below the lower end surface 52 of a mouth of a container conveyed in an inverted posture, the sterilizing fluid is mixed with air and the sterilizing fluid is atomized and sprayed intermittently from the non-inserted nozzle toward the inside of the container.
    Type: Grant
    Filed: June 9, 2006
    Date of Patent: March 5, 2013
    Assignee: Toyo Seikan Kaisha, Ltd.
    Inventors: Takeshi Iwashita, Nobuaki Nagatani, Kenichi Kominami
  • Patent number: 8377216
    Abstract: A vacuum processing apparatus includes a vacuum chamber for performing a plasma process and a cleaning process unit for performing a cleaning process to apply a plasma process to a wafer on which a single layer or a laminated film containing a metallic film is formed by using a corrosive gas, and a control unit having a sequence to abort the plasma process when an abnormality occurs in the vacuum chamber and transfer the wafer subjecting to the aborting of the plasma process to the cleaning process unit, after elapsing a predetermined time, to perform the cleaning process.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: February 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masakazu Okai, Kenji Tamai, Toru Ueno
  • Patent number: 8377219
    Abstract: A method for cleaning a semiconductor wafer composed of silicon directly after a process of chemical mechanical polishing of the semiconductor wafer includes transferring the semiconductor wafer from a polishing plate to a first cleaning module and spraying both side surfaces of the semiconductor wafer with water at a pressure no greater than 1000 Pa at least once while transferring the semiconductor wafer. The semiconductor wafer is then cleaned between rotating rollers with water. The side surfaces of the semiconductor wafer are sprayed with an aqueous solution containing hydrogen fluoride and a surfactant at a pressure no greater than 70,000 Pa. Subsequently, the side surfaces are sprayed with water at a pressure no greater than 20,000 Pa. The wafer is then dipped into an aqueous alkaline cleaning solution, and then cleaned between rotating rollers with a supply of water. The semiconductor wafer is then sprayed with water and dried.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: February 19, 2013
    Assignee: Siltronic AG
    Inventor: Reinhold Lanz
  • Publication number: 20130037062
    Abstract: In one aspect, a method of cleaning an electronic device manufacturing process chamber part is provided, including a) spraying the part with an acid; b) spraying the part with DI water; and c) treating the part with potassium hydroxide. Other aspects are provided.
    Type: Application
    Filed: August 14, 2012
    Publication date: February 14, 2013
    Applicant: Quantum Global Technologies, LLC
    Inventors: Liyuan Bao, Smantha S.H. Tan, Anbei Jiang
  • Patent number: 8372213
    Abstract: Semiconductor wafers are treated in a liquid container filled at least partly with a solution containing hydrogen fluoride, such that surface oxide dissolves, are transported out of the solution along a transport direction and dried, and are then treated with an ozone-containing gas to oxidize the surface of the semiconductor wafer, wherein part of the semiconductor wafer surface comes into contact with the ozone-containing gas while another part of the surface is still in contact with the solution, and wherein the solution and the ozone-containing gas are spatially separated such that they do not come into contact with one another.
    Type: Grant
    Filed: December 3, 2009
    Date of Patent: February 12, 2013
    Assignee: Siltronic AG
    Inventors: Guenter Schwab, Diego Feijoo, Thomas Buschhardt, Hans-Joachim Luthe, Franz Sollinger