Metal Base Work, Acid Treating Patents (Class 134/41)
  • Patent number: 6693067
    Abstract: The present invention relates to a water-soluble composition for removing rust from steel bars and steel frames, which is environmentally harmless and permits improving work efficiency by allowing work to be conducted in a state where rust was simply removed from the steel bars and frames by applying it on the steel bars or frames with a spray or brush. The rust-removing preparation of the present invention comprises a mixture of 10-11% by weight of phosphoric acid, 6-7% by weight of sodium pyrophosphate, 6-7% by weight of a hard water softener, 7-8% by weight of zeolite, 2-3% by weight of xanthan, 2-3% by weight of a surfactant, 4-5% by weight of stearic acid, 6-7% by weight of methyl alcohol and 2-3% by weight of a defoamer in 50-51% by weight of water.
    Type: Grant
    Filed: September 18, 2002
    Date of Patent: February 17, 2004
    Assignees: Chum Dan Technical Evolution
    Inventor: Kwon-Taeg Kim
  • Patent number: 6689226
    Abstract: The decontamination gel consists of one solution including: a) a thickening agent; b) an active agent of decontamination; in which the thickening agent (a), is an agent exclusively organic, chosen among water-soluble organic polymers. This gel is usable for radioactive decontamination of surfaces, especially metallic surfaces.
    Type: Grant
    Filed: March 20, 2002
    Date of Patent: February 10, 2004
    Assignee: S.T.M.I. Société des Techniques en Milieu Ionisant
    Inventors: David Cheung, Philippe Rigal, Stéphane Bargues, Frédéric Favier, Jean-Louis Pascal
  • Patent number: 6682605
    Abstract: An apparatus and a method for removing coating layers from the top of alignment marks on a wafer are described. The apparatus includes a cleaning chamber that is a cavity and a lid member suspended in the cavity, a wafer chuck that is rotatably mounted in the lid member for holding a wafer in an upside down position such that the alignment marks are facing downwardly, and at least two solvent dispensing arms mounted in an outer peripheral area of the lid member that are immediately adjacent to the chuck for dispensing a flow of solvent upwardly toward the active surface of the wafer when the wafer is held in a stationary position, each of the at least two solvent dispensing arms are positioned corresponding to a position of one of the alignment marks.
    Type: Grant
    Filed: January 7, 2002
    Date of Patent: January 27, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Aaron Cheng, Ting-Chun Wang, Yu-Ku Lin, Chun-Chang Chen, Yi-Lang Wang
  • Publication number: 20040007249
    Abstract: Equipment of pretreatment before painting for a composite vehicle body comprises a treatment tank, an aluminum chemical conversion unit, and a rinse unit. The treatment tank is filled with a degreasing and chemical conversion solution containing a mixed solution of a polar organic solvent and water, any of sodium ion and lithium ion, phosphate ion, zinc ion, nickel ion, manganese ion, and any of nitrate ion and nitrite ion. The mixed solution has a weight ratio of the polar organic solution to the water in a range of 2.8:7.2 to 3.8:6.2. The aluminum chemical conversion unit treats the composite vehicle body with a chemical conversion solution for aluminum. The rinse unit washes the composite vehicle body with a washing solution.
    Type: Application
    Filed: June 5, 2003
    Publication date: January 15, 2004
    Applicant: NISSAN MOTOR CO., LTD.
    Inventors: Hiroyuki Kishi, Seiji Miyamoto, Osamu Tanaka, Hideaki Yaegashi, Masahiro Obika
  • Patent number: 6676769
    Abstract: An apparatus and a method for cleaning a torch for a vertical furnace used in semiconductor processing are disclosed. The apparatus is constructed by two main components of a basket-shaped fixture body and a cleaning bath. The fixture body is formed of cylindrical shape with a top ring, a bottom ring and three support rods connecting the two rings together. The top ring is provided with an outwardly extending flange portion for engaging an opening in a cleaning bath for supporting and suspending the fixture body in the bath. The bottom ring is equipped with a pair of symmetrically positioned, inwardly extending arcuate-shaped flange portions adapted for supporting an edge of a bottom surface of the furnace torch in the cleaning bath.
    Type: Grant
    Filed: November 6, 2001
    Date of Patent: January 13, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: June-Yie Kao, Yie-Min Wu, Ming-Hsun Yang, Chii-Shing Yang
  • Patent number: 6673162
    Abstract: A glass article comprising a glass body having a surface and a water-soluble surface-protection film formed on the surface. The water-soluble surface-protection film is made of hydroxy acid or salt thereof. The hydroxy acid or the salt thereof is one or more kinds selected from the group consisting of citric acid, tartaric acid, malic acid, gluconic acid and salts thereof. An example of the glass body is a funnel part having an anode button for a CRT.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: January 6, 2004
    Assignee: Nippon Electric Glass Co., Ltd.
    Inventors: Yoshiharu Miwa, Yoshinobu Saji, Shigeyoshi Itou
  • Patent number: 6669785
    Abstract: A method of cleaning a microelectronic substrate is carried out by providing a cleaning fluid, the cleaning fluid comprising an adduct of hydrogen fluoride with a Lewis base in a carbon dioxide solvent; and then cleaning the substrate by contacting the substrate to the cleaning fluid for a time sufficient to clean the substrate.
    Type: Grant
    Filed: May 15, 2002
    Date of Patent: December 30, 2003
    Assignee: Micell Technologies, Inc.
    Inventors: James P. DeYoung, Stephen M. Gross, Mark I. Wagner, James B. McClain
  • Patent number: 6663722
    Abstract: To provide a novel and effective cleaning method which can give a cleaned fluorine-containing rubber molded article for semiconductor production apparatuses. The method of cleaning the fluorine-containing rubber molded article for semiconductor production apparatuses, which comprises washing the fluorine-containing rubber molded article at least once with ultra pure water which has a metal content of not more than 1.0 ppm and does not contain fine particles of not less than 0.2 &mgr;m in an amount of more than 300 per 1 ml.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: December 16, 2003
    Assignee: Daikin Industries, Ltd.
    Inventors: Katsuhiko Higashino, Tsuyoshi Noguchi, Mitsuru Kishine, Masanori Hasegawa
  • Patent number: 6663720
    Abstract: A method of prevention maintenance preventing parts of an etcher from being eroded is disclosed. First, a layer of hydrogen-free chemical compound is formed on surface of the parts of the etcher according to one embodiment of the present invention. Otherwise, the parts of the etcher are immersed into a tank containing hydrogen-free chemical compound according to another embodiment of the present invention. After that, a standard process of prevention maintenance is performed by a cleaning agent.
    Type: Grant
    Filed: June 26, 2001
    Date of Patent: December 16, 2003
    Assignee: Mosel Vitelic Inc.
    Inventors: Chiang Wen-Peng, Hsu Ching-Ho
  • Patent number: 6660102
    Abstract: A method is directed toward decoating a parent body, provided with an anti-corrosion coating, of a turbine blade. An outer part of the anti-corrosion coating is removed abrasively by a water jet. An inner part of the anti-corrosion coating is then removed chemically. This combination permits efficient and cost-effective decoating of the turbine blade.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: December 9, 2003
    Assignee: Siemens Aktiengesellschaft
    Inventors: Andre Jeutter, Helge Reymann
  • Publication number: 20030221702
    Abstract: A wet cleaning/passivation process for a passivatable metal part including a contaminant-bearing surface. The process includes the steps of: (a) contacting the contaminant-bearing part with an aqueous acid solution effective for pickling the contaminant-bearing surface of the contaminant-bearing part, with such contacting being conducted for sufficient time and at sufficient temperature to achieve pickling of the contaminant-bearing surface; (b) contacting the cleaned surface of the part with a passivating aqueous solution, with such contacting being conducted for sufficient time and at sufficient temperature to passivate the cleaned surface; and (c) CO2 blasting the surface, to remove micron and sub-micron particles from the surface.
    Type: Application
    Filed: May 28, 2002
    Publication date: December 4, 2003
    Inventor: Henry C. Peebles
  • Patent number: 6655395
    Abstract: A device for pickling or cleaning rolled steel strip which is moved in the form of loops through several pickling and cleaning containers arranged within a treatment line, wherein at least one strip side is subjected tot he action of a pickling or cleaning medium. For this purpose, squirting or spraying units are provided which can be switched on or off and/or are adjustable with regard to their spraying width and/or are operated with controllable squirting or spraying pressure and/or volume flow. Deflection rolls for deflecting the strip are arranged before and after the treatment line for deflecting the strip out of the horizontal strip running plane into an upright vertical strip running plane and vice versa, wherein the deflection rolls are elevated or slanted relative to the horizontal strip running plane.
    Type: Grant
    Filed: June 30, 2001
    Date of Patent: December 2, 2003
    Assignee: SMS Schloemann-Siemag Aktiengesellschaft
    Inventor: Withold Richert
  • Patent number: 6652661
    Abstract: A method for removing a wide variety of radioactive contaminants from a contaminated surface sufficient for the surface to be classified as a low-level waste or as free release. Contaminated surfaces may be classified as Class C, Class B, Class A, or high-level radioactive wastes prior to treatment. An aqueous solution having a wetting agent and a complex substituted keto-amine is provided. The aqueous solution is left on the surface for a time sufficient to remove the radioactive contaminants into the aqueous solution which is then removed. Depending on the type and condition of the surface, an acid may be added to the aqueous solution to aid in the contaminant removal process. However, typical metals surfaces may often be treated effectively without the use of concentrated acids or with dilute concentrations of such acids. The method of the present invention has the effect of removing substantially all of the radioactive contaminants from a variety of previously contaminated surfaces.
    Type: Grant
    Filed: April 18, 2003
    Date of Patent: November 25, 2003
    Assignee: Bobolink, Inc.
    Inventor: Robert T. Martin
  • Patent number: 6645306
    Abstract: The present invention relates to a process for pickling hot rolled, hot rolled & annealed, and cold rolled & annealed stainless steel strip in a continuous fashion. The process comprises a series of pre-pickling tanks and pickling tanks, and optionally includes a scrubber-brush tank, a de-smutting tank, a filtration unit and a heat exchanger.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: November 11, 2003
    Assignee: AK Steel Corporation
    Inventors: Vijay N. Madi, Jerald W. Leeker, Clayton A. Van Scoy
  • Patent number: 6641740
    Abstract: The deleterious effects of metal ions in water, for example water hardness and formation of deposits, may be combated by application of an algin, for example alginic acid or a salt of alginic acid, such as sodium alginate or potassium alginate. Such a compound may bring about water purification and/or softening and prevent formation of deposits. Furthermore, such a compound may promote the removal of existing deposits, for example scale.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: November 4, 2003
    Assignee: Reckitt Benckiser (UK) Limited
    Inventors: Gay Joyce Cornelius, Ian Gordon Jolliffe, Malcolm Tom McKechnie, Edvar Jarle Onsoyen
  • Publication number: 20030203827
    Abstract: A method of simultaneously cleaning and disinfecting an industrial water system is described and claimed. The method involves the addition to the water of the industrial water system of a Compound selected from the group consisting of the alkali salts of chlorite and chlorate and mixtures thereof; and an acid, followed by allowing the water in the industrial water system to circulate for several hours. The reaction of the alkali salts of chlorite and chlorate and acid produces chlorine dioxide in-situ in the water of the industrial water system. The chlorine dioxide kills microorganisms and the acid acts to remove deposits upon the water-contact surfaces of the equipment. This cleaning and disinfecting method works in a variety of industrial water systems including cooling water systems.
    Type: Application
    Filed: April 30, 2002
    Publication date: October 30, 2003
    Inventors: Andrew J. Cooper, Jasbir S. Gill, Amit Gupta, Robert F. Kelly, Douglas G. Kelley, Eric R. Myers
  • Patent number: 6638365
    Abstract: A method of preparing a silicon surface for a subsequent processing said such as thermal oxidation, or metal silicide formation, via use of a novel wet chemical clean procedure, has been developed. The novel wet chemical clean procedure is comprised of three specific stages, with the first stage featuring the removal of organic contaminants and the growth of a native oxide layer on the silicon surface. A second stage features removal of the native oxide layer and removal of metallic contaminants from the silicon surface, while the third stage is used to dry the silicon surface. The novel wet chemical clean procedure is performed in less time, and using less chemicals, then counterpart wet chemical cleans also used for the preparation of silicon surfaces for subsequent processing steps.
    Type: Grant
    Filed: October 9, 2001
    Date of Patent: October 28, 2003
    Assignees: Chartered Semiconductor Manufacturing Ltd., Institute of Materials Research and Engineering
    Inventors: Jianhui Ye, Simon Chooi, Alex See
  • Patent number: 6632291
    Abstract: The present invention relates to methods for cleaning, rinsing, and/or antimicrobial treatment of medical carts, medical cages, and other medical instruments, devices or equipment. The method for cleaning employs a solid alkaline, for example a solid carbonate, cleaning composition for cleaning the medical cart, cage, instrument, device, or equipment. The method for rinsing employs a solid neutral or neutralizing rinse composition for rinsing the medical cart, cage, instrument, device, or equipment. The method for antimicrobial treatment employs a solid, for example a solid quaternary ammonium or solid halogen, antimicrobial composition, for antimicrobial treatment of the medical cart, cage, instrument, device, or equipment.
    Type: Grant
    Filed: March 23, 2001
    Date of Patent: October 14, 2003
    Assignee: Ecolab Inc.
    Inventors: Reid Rabon, Sally K. Swart, Denise Chandler, Terrence P. Everson
  • Patent number: 6632289
    Abstract: A to-be-cleaned substrate is cleaned by use of an acid liquid agent in a cleaning cup, the remaining acid liquid agent is washed out by use of pure water, then an alkaline liquid agent is emitted to the surface of the to-be-cleaned substrate in the same cleaning cup to remove the acid liquid agent remaining on the to-be-cleaned substrate. A neutralization reaction between the acid and alkali is caused by emitting the alkaline liquid agent to the surface of the to-be-cleaned substrate so as to efficiently remove the acid liquid agent remaining on the surface of the to-be-cleaned substrate.
    Type: Grant
    Filed: June 25, 2001
    Date of Patent: October 14, 2003
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kenji Masui, Akio Kosaka, Hidehiro Watanabe
  • Patent number: 6632292
    Abstract: This invention provides a process for treating a workpiece having a front side, a back side, and an outer perimeter. In accordance with the process, a processing fluid is selectively applied or excluded from an outer peripheral margin of at least one of the front or back sides or the workpiece. Exclusion and/or application of the processing fluid occurs by applying one or more processing fluids to the workpiece as the workpiece and corresponding reactor are spinning about an axis of rotation that is generally orthogonal to the center of the face of the workpiece being processed. The flow rate of the one or more processing fluids, fluid pressure, and/or spin rate are used to control the extent to which the processing fluid is selectively applied or excluded from the outer peripheral margin.
    Type: Grant
    Filed: September 28, 2000
    Date of Patent: October 14, 2003
    Assignee: Semitool, Inc.
    Inventors: Brian K. Aegerter, Curt T. Dundas, Tom L. Ritzdorf, Gary L. Curtis, Michael Jolley
  • Patent number: 6623695
    Abstract: An anticorrosive, penetration enhancing composition for cleaning decontaminating and rinsing includes electrochemically activated (ECA) water as the decontamination agent. The anticorrosive decontamination composition has, as the anticorrosive agent, a compound or mixture of compounds capable of inhibiting corrosion of various metals used in sterilization decontamination and rinsing systems and objects such as medical instruments. Preferred anticorrosive compounds include phosphates, azoles, and sulfates. Other additives, including wetting agents, are added to reduce the surface energy of the ECA water. This reduced surface energy permits the ECA water to penetrate into objects of complex design thus permitting complete decontamination of the treated object.
    Type: Grant
    Filed: October 27, 1999
    Date of Patent: September 23, 2003
    Assignee: Steris Corporation
    Inventors: Paul S. Malchesky, Christopher M. Fricker
  • Patent number: 6613153
    Abstract: The radioactivity of a metal part is reduced in the process. In the method, firstly a layer of oxide is removed from the metal part using a decontamination solution. Then, an agent which has an oxidizing action and is still present is removed from the decontamination solution. As a result, a layer of the metal is removed. Since radionuclides are to be found only in the layer of the metal part which is close to the surface, the remaining metal can be scrapped in the conventional way.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: September 2, 2003
    Assignee: Framatome ANP GmbH
    Inventors: Horst-Otto Bertholdt, Rainer Gassen, Franz Strohmer
  • Patent number: 6613376
    Abstract: The pan release coating is provided which includes water, mono and diclycerides, polysorbate, and an antimicrobial effective amount of acetic acid, citric acid and sodium benzoate. Desirably, the composition of the present invention is composed of water in an amount of 77% to 95% by weight, acetic acid in the amount of 0.3 to 1% by weight, citric acid in the amount of 0.02 to 1.0% by weight, sodium benzoate in an amount of 0.02 to 0.3% by weight, monoglycerides and diglycerides in the amount of 2 to 8% by weight and polysorbate in the amount of 2 to 7% by weight. Desirably, lecithin is also included. The resulting product has a pH of 4.5 or below, desirably between about 3.5 and 4.5, and most desirably a pH of 3.6 to 3.9. The product has a shelf life of 12 months or longer at room temperature, without refrigeration or a sealed container.
    Type: Grant
    Filed: March 12, 2001
    Date of Patent: September 2, 2003
    Assignees: Par-Way Group, Inc., Sunnycrest BNB, Inc.
    Inventors: Robert M. Smith, John P. Starr
  • Patent number: 6610152
    Abstract: Metal ions are removed from solid surfaces which may be contaminated with one or more radionuclides by contacting the solid surfaces with the supercritical fluid, as, for instance, carbon dioxide containing both an acidic ligand and organic amine. The metal ions are extracted from the solid surface and the extract is separated from the solid surface.
    Type: Grant
    Filed: March 15, 2001
    Date of Patent: August 26, 2003
    Assignee: British Nuclear Fuels PLC
    Inventors: Vassily A. Babain, Andrey A. Murzin, Igor V. Smirnov, Vadim A. Starchenko, Andrey Y. Shadrin, Neil Graham Smart
  • Publication number: 20030158063
    Abstract: The subject invention is a process for preserving metallic products from deterioration from corrosion or oxidation, comprising the application of a protective product including the following steps of applying,
    Type: Application
    Filed: February 20, 2002
    Publication date: August 21, 2003
    Inventor: Robert E. Whitman
  • Patent number: 6605155
    Abstract: A descaling composition for use in removing scale deposited on a member made of titanium or a titanium alloy, the descaling composition containing a hydroxycarboxylic acid, a sulfamic acid and ammonium sulfate as effective components and effectively inhibiting titanium ions from dissolving out.
    Type: Grant
    Filed: July 23, 2002
    Date of Patent: August 12, 2003
    Assignee: Seiwa Pro Co., Ltd.
    Inventor: Takeshi Sugimoto
  • Patent number: 6605158
    Abstract: A method for removing radioactive contaminants from a given surface. An aqueous solution having a wetting agent and a complex substituted keto-amine is provided. The solution is left on the surface for a time sufficient to remove the radioactive contaminants into the aqueous solution which is then removed. Depending on the type and condition of the surface, a concentrated acid may be added to the aqueous solution to aid in the contaminant removal process. In such a case, a pH of less than 3.0, and preferably less than 1.5 is maintained. If a concentrated acid is used, the acidic solution containing radioactive contaminants is preferably neutralized by an alkaline material to a pH of between 5.5 and 9.0. Removal of thorium contamination from railcars is one useful application of the invention. The method of the present invention has the effect of removing substantially all of the radioactive contaminants from a previously contaminated surface.
    Type: Grant
    Filed: October 24, 2002
    Date of Patent: August 12, 2003
    Assignee: Bobolink, Inc.
    Inventor: Robert T. Martin
  • Patent number: 6599371
    Abstract: The pickling process of the present invention is designed for pickling electrical steel strip in a continuous fashion and comprises at least one pickling tank equipped with at least one set of sprayers designed to spray the top and bottom surfaces of a steel strip with a solution comprised of hydrogen peroxide prior to and/or after the strip is immersed in a solution contained in a pickling tank. The set(s) of sprayers in each of the pickling tanks are located above the level of the pickle bath solution, rather than being located in separate spray tanks. Upon exiting the final pickling tank, the strip is brushed/scrubbed to loosen any residual scale to form a clean strip.
    Type: Grant
    Filed: April 9, 2002
    Date of Patent: July 29, 2003
    Assignee: AK Steel Corporation
    Inventors: Vijay N. Madi, Jerald W. Leeker, Clayton A. Van Scoy
  • Publication number: 20030136428
    Abstract: A method of cleaning process residues from the surface of a substrate processing chamber component having holes. In the method, the component is at least partially immersed into a cleaning solution comprising hydrofluoric acid and nitric acid, and a non-reactive gas is passed through the holes to prevent the cleaning solution from back-flowing into the holes during the cleaning process. The method is particularly useful for cleaning sputtering residue deposits from an electrostatic chuck used in a sputtering process.
    Type: Application
    Filed: January 23, 2002
    Publication date: July 24, 2003
    Applicant: Applied Materials, Inc.
    Inventor: Ole Krogh
  • Publication number: 20030136423
    Abstract: A semiconductor device production method that is used to uniformly and efficiently reduce metal oxides produced on metal (copper, for example) which forms electrodes or wirings on a semiconductor device. An object to be treated on which copper oxides are produced is put into a process chamber and is heated by a heater to a predetermined temperature. Then carboxylic acid stored in a storage tank is vaporized by a carburetor. The vaporized carboxylic acid, together with carrier gas, is introduced into the process chamber via a treating gas feed pipe to reduce the copper oxides produced on the object to be treated to metal copper. As a result, metal oxides can be reduced uniformly without making the surfaces of electrodes or wirings irregular. Moreover, in this case, carbon dioxide and water are both produced in a gaseous state. This prevents impurities from remaining on the surface of copper.
    Type: Application
    Filed: August 20, 2002
    Publication date: July 24, 2003
    Applicant: FUJITSU LIMITED
    Inventors: Ade Asneil Akbar, Takayuki Ohba
  • Patent number: 6596175
    Abstract: A method is disclosed to dissolve cupric oxide (CuO) deposits on a strainer in a stator water cooling system (SWCS) of an industrial electrical power generator. The method injects carbon dioxide (CO2) into the coolant to increase the CuO solubility of the coolant. By increasing the solubility, the CuO level in the coolant is less than saturated. The unsaturated coolant dissolves the CuO deposits on the strainer.
    Type: Grant
    Filed: February 28, 2001
    Date of Patent: July 22, 2003
    Assignee: General Electric Company
    Inventor: Raymond Grant Rowe
  • Patent number: 6596677
    Abstract: Propylene carbonate based cleaning compositions. The compositions comprise propylene carbonate, a glycol ether, water, and an acid activator. The compositions may optionally also comprise hydrogen peroxide. The present invention also provides for a method of removing a soil from a hard surface, wherein a cleaning composition comprising propylene carbonate, a glycol ether, water, and an acid activator is applied to the hard surface. After application of the cleaning composition, the soil is removed from the hard surface without the exertion of mechanical force.
    Type: Grant
    Filed: September 25, 2000
    Date of Patent: July 22, 2003
    Assignee: Huntsman Petrochemical Corporation
    Inventors: James R. Machac, Jr., Susan A. Woodrum, Howard P. Klein, Edward T. Marquis
  • Publication number: 20030127114
    Abstract: A cleaning container and method for using the same for chemically cleaning elongated members including quartz thermocouple sleeves including a first body member and a second body member said first body member and second body member respectively forming a first containing space and a second containing space including a first means for reversibly compressively sealing the first body member and the second body member to a form a combined containing space for sealably holding a cleaning solution level; a cap member disposed at a distal end of the first body member said cap member including a second means for reversibly compressively sealing a first opening in communication with the first containing space; and, a second opening centrally disposed in a distal end of the second containing space said second opening including a third means for reversibly compressively sealing around at least one elongated member penetrating through said second opening.
    Type: Application
    Filed: January 9, 2002
    Publication date: July 10, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yung-Pin Lin, Chung-Ray Chen, Chen-Mei Fan, Chien-Chung Hsu
  • Publication number: 20030130146
    Abstract: The present invention relates to aqueous compositions used to remove post etch organic and inorganic residue as well polymeric residues and contaminants from semiconductor substrates. The compositions are comprised of a water soluble organic solvent, a sulfonic acid and water.
    Type: Application
    Filed: January 9, 2002
    Publication date: July 10, 2003
    Inventors: Matthew I. Egbe, Darryl W. Peters
  • Patent number: 6589357
    Abstract: In order to remove impurities, especially liquids (5) and/or particles (4) from surfaces of plate-shaped workpieces (2) contaminated or wetted with liquid (5), at least one wiping element (3) is provided which moves with respect to the surface (2a) the wiping element (3) to be cleaned and contacts this surface (2a). The wiping element (3) is cooled down so that the liquid (5) coming into contact with this wiping element (3) and bristles (8) preferably provided thereon assumes a higher viscosity or even solidifies and consequently remains adhered together with the particles (4) contained in the liquid (5) to the wiping element (3) or its bristles (8). This cleaning principle can be assisted and influenced by heating of the surface of workpiece (2) upstream of the wiping element or elements (3) in the feed direction.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: July 8, 2003
    Assignee: Wandres GmbH Micro-Cleaning
    Inventor: Claus G Wandres
  • Patent number: 6589439
    Abstract: A composition for selective etching of oxides over a metal. The composition contains water, hydroxylammonium salt, carboxylic acid, a fluorine containing compound, and optionally, a base. The pH of the composition is about 2 to 6.
    Type: Grant
    Filed: June 6, 2001
    Date of Patent: July 8, 2003
    Assignee: Arch Specialty Chemicals, Inc.
    Inventors: Kenji Honda, Michelle Elderkin
  • Publication number: 20030121529
    Abstract: A semi-aqueous solvent based method using non-aromatic, halogen-free organic solvent compositions for the effective removal of flux residue from electronic component surfaces after high temperature solder interconnections in the presence of rosin based flux compositions. Rosin flux residue can be removed using hydrophobic, essentially water insoluble, propylene glycol alkylether solvents in conjunction with a surfactant, preferably an ionic and/or a mixture of a non-ionic and an ionic surfactant in the first step, then a second step involving immersion with agitation in a hydrophobic solvent with no added surfactant. This is followed by a third step of hydrophilic solvent immersion with agitation/spray, rinsing off the hydrophilic solvent with water, and then a drying step.
    Type: Application
    Filed: December 21, 2001
    Publication date: July 3, 2003
    Inventors: Krishna G. Sachdev, Chon C. Lei, Demian M. Riccardi
  • Patent number: 6585826
    Abstract: A method of removing residual contamination including metal nitride particles from semiconductor wafer surfaces including the steps of: providing at least one semiconductor wafer with metal nitride particles adhering to the at least one semiconductor wafer surface thereto; subjecting the at least one semiconductor wafer to at least one mechanical brushing process while a cleaning solution including a carboxylic acid is supplied to at least one semiconductor wafer surface; and, subjecting the at least one semiconductor wafer to an a sonic cleaning process including the carboxylic acid cleaning solution.
    Type: Grant
    Filed: November 2, 2001
    Date of Patent: July 1, 2003
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yali Tai, Shih-Chi Lin, Wen-Long Lee, Francis Wang, Szu-An Wu, Hsi-Kuei Cheng, Ying-Lang Wang
  • Patent number: 6582525
    Abstract: In a method for processing a workpiece to remove material from a first surface of the workpiece, steam is introduced onto the first surface under conditions so that at least some of the steam condenses and forms a liquid boundary layer on the first surface. The condensing steam helps to maintain the first surface of the workpiece at an elevated temperature. Ozone is provided around the workpiece under conditions where the ozone diffuses through the boundary layer and reacts with the material on the first surface. The temperature of the first surface is controlled to maintain condensation of the steam.
    Type: Grant
    Filed: August 14, 2001
    Date of Patent: June 24, 2003
    Inventor: Eric J. Bergman
  • Publication number: 20030111093
    Abstract: Brass articles having leachable lead are contacted with an aqueous caustic solution that contains a chelating agent. The brass article can optionally be post-treated by contacting them with an aqueous solution containing hydrogen peroxide.
    Type: Application
    Filed: August 12, 2002
    Publication date: June 19, 2003
    Inventors: Edward L. Cote, Andrew D. Wenzel, Lance E. Agness
  • Patent number: 6579377
    Abstract: The present invention relates to compositions, kits and processes for the brightening of metal surfaces by the application of the chemical compositions. These compositions act to release hydrofluoric acid as a brightening agent. The solutions are preferably mixed during application of the brightening composition to a metal surface by mixing the solutions immediately prior to or even during application of the solution. This can be readily accomplished by mixing two solutions, one having a fluoride source and the other having a strong acid to release hydrofluoric acid from the fluoride source, the mixing occurring immediately before spray application, during spraying, or immediately after spraying of the two solutions. Mixing may be done on-site, which means that mixing is performed at the site of use, usually on the same day of use or even within a few (less than 10) minutes of use.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: June 17, 2003
    Assignee: Ecolab Inc.
    Inventors: Guang-jong Jason Wei, David Daniel McSherry, Mark D. Levitt
  • Patent number: 6576066
    Abstract: According to a supercritical drying method of this invention, a substrate having a pattern is dipped in water and rinsed with water. Then, the substrate is placed in the reaction chamber of a predetermined sealable vessel, and surfactant-added liquid carbon dioxide is introduced into the reaction chamber. The substrate is dipped in surfactant-added liquid carbon dioxide, and liquid carbon dioxide is changed to the supercritical state. After that, supercritical carbon dioxide is gasified.
    Type: Grant
    Filed: November 28, 2000
    Date of Patent: June 10, 2003
    Assignee: Nippon Telegraph and Telephone Corporation
    Inventor: Hideo Namatsu
  • Patent number: 6569254
    Abstract: An apparatus and method permits unattended cleaning of a surface. A clamping mechanism engages features around a contaminated surface and is connected to a dome-shaped receptacle containing a gelled acid compound. The gelled acid compound is pressed and held against the contaminated surface during cleaning. Gelled acid ensures that the acid component for dissolving does not readily disburse into the ambient environment. Contamination exposed to the gelled acid compound is dissolved, and the clamping mechanism is disengaged for other cleaning tasks. More reliable attachment of instrumentation and other packages can be made to the cleaned surface. The uncomplicated apparatus and method of the invention allow a single diver to quietly attach packages underwater in the harsh marine environment.
    Type: Grant
    Filed: May 30, 2001
    Date of Patent: May 27, 2003
    Assignee: The United States of America as represented by the Secretary of the Navy
    Inventors: Billy Courson, Felipe Garcia, John Shelburne
  • Patent number: 6569253
    Abstract: The present invention provides a method of cleaning a chamber of a CVD machine and elements within. A gas mixture of carbon tetrafluoride (CF4) and perfluoro ethane (C2F6) is first injected into the chamber. After performing a surface treatment, comprising a sandblasting step or a polishing step, on the surfaces of the elements, the elements are then immersed in a cleaning solution, comprising at least ammonia water (NH4OH) and hydrogen peroxide (H2O2) at a temperature maintained between 40° C. to 70° C. Finally, the temperature of the cleaning solution is raised so that the residual layer on the surface of the elements can drop from the surfaces of the heater and the process kits or dissolve into the cleaning solution.
    Type: Grant
    Filed: April 25, 2001
    Date of Patent: May 27, 2003
    Assignee: United Microelectronics Corp.
    Inventors: Wei-Hsu Wang, Tsan-Chi Chu, Cheng-Yuan Yao, Wei-Hao Lee, Ping-Chung Chung
  • Publication number: 20030089891
    Abstract: An antimicrobial cleaning composition and methods for cleaning semiconductor substrates, particularly after chemical mechanical planarization or polishing, are provided. In one embodiment, the cleaning composition combines a solvent, a cleaning agent such as a hydroxycarboxylic acid or salt thereof, and at least one antimicrobial agent resulting in a cleaning composition in which microbial growth is inhibited. Examples of suitable antimicrobial agents include a benzoic acid or salt such as potassium or ammonium benzoate, and sorbic acid or salt such as potassium sorbate. The composition is useful for cleaning a wafer and particularly for removing residual particles after a conductive layer has been planarized to a dielectric layer under the conductive layer in a chemical mechanical planarization of a semiconductor wafer with abrasive slurry particles, particularly after a CMP of copper or aluminum films.
    Type: Application
    Filed: October 16, 2001
    Publication date: May 15, 2003
    Inventor: Michael T. Andreas
  • Patent number: 6562145
    Abstract: A cleaning unit (A) includes a movable cart (20) which carries a cleaning system for cleaning baked-on residues from walls (10) of a sterilizer chamber (12). Alkaline and acid cleaning solutions (180, 182), for removing organic and inorganic residues, respectively, from the chamber, are stored in a multi-compartment container carried by the cart and having two storage compartments (52, 54). The alkaline and acid solutions are sequentially sprayed over the chamber walls and returned to their respective compartments. After cleaning is complete, a wall (200) which separates the two compartments is punctured. The two cleaning fluids are thereby mixed together to form a neutral or near neutral solution which is disposable in a sanitary sewer system without further treatment.
    Type: Grant
    Filed: January 5, 2002
    Date of Patent: May 13, 2003
    Assignee: Steris Inc.
    Inventors: Michael A. Duckett, John C. Bliley, Gerald J. Kielar, Sayed Sadiq Shah
  • Patent number: 6562249
    Abstract: In an improved method of etching apertures in a thin metal sheet to form a shadow mask for a color picture tube, the metal sheet has a first acid-resistant stencil on one major surface thereof and a second acid-resistant stencil on the other major surface thereof. At least one of the stencils has openings therein at locations of intended apertures. The improvement comprises the steps of magnetically holding the metal sheet with a flat magnetic assembly, and moving the magnetic assembly magnetically holding the metal sheet thereon through an etching chamber. The magnetic assembly includes a magnetic layer that is supported on an acid-resistant board.
    Type: Grant
    Filed: July 10, 2001
    Date of Patent: May 13, 2003
    Assignees: BMC Industries, Inc., Thomson Consumer Electronics
    Inventors: Craig Clay Eshleman, Charles Michael Wetzel, Randall Eugene McCoy, Leo B. Kriksunov, Lance Benjamin, Derek Harris, Thomas R. Sage
  • Patent number: 6562296
    Abstract: The present invention relates to the use of a cleaning composition concentrate in particular for the mechanical cleaning of medical and/or surgical instruments and/or apparatuses and to a process for carrying out this cleaning.
    Type: Grant
    Filed: April 5, 2000
    Date of Patent: May 13, 2003
    Assignee: Chemische Fabrik Dr. Weigert GmbH & Co. KG
    Inventors: Petra Tiarks, Jürgen Staffeldt
  • Publication number: 20030084919
    Abstract: A method of removing residual contamination including metal nitride particles from semiconductor wafer surfaces including the steps of: providing at least one semiconductor wafer with metal nitride particles adhering to the at least one semiconductor wafer surface thereto; subjecting the at least one semiconductor wafer to at least one mechanical brushing process while a cleaning solution including a carboxylic acid is supplied to the at least one semiconductor wafer surface; and, subjecting the at least one semiconductor wafer to an a sonic cleaning process including the carboxylic acid cleaning solution.
    Type: Application
    Filed: November 2, 2001
    Publication date: May 8, 2003
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Yali Tai, Shih-Chi Lin, Wen-Long Lee, Francis Wang, Szu-An Wu, Hsi-Kuei Cheng, Ying-Lang Wang
  • Patent number: 6558478
    Abstract: An acid solution is continuously supplied to a central portion of a surface of a substrate while the substrate is rotating, and an oxidizing agent solution is continuously or intermittently supplied to a periphery of the substrate. In addition, an oxidizing agent solution and an acid solution are simultaneously or alternately supplied to a reverse side of the substrate.
    Type: Grant
    Filed: October 6, 2000
    Date of Patent: May 6, 2003
    Assignee: Ebara Corporation
    Inventors: Ichiro Katakabe, Shinya Morisawa, Haruko Ohno, Sachiko Kihara, Akira Fukunaga