With Mechanical Mask Or Shield Or Shutter For Shielding Workpiece Patents (Class 156/345.19)
  • Patent number: 11739606
    Abstract: A temporary well isolation device which has an axial passage that comprises a temporary housing having an internal cavity containing a chemical material and a temporary barrier or plug member that can be actuated by an external mechanism to allow fluid to flow into the internal chamber and contact the chemical material in the internal chamber. When the chemical material is exposed to fluid, the chemical material causes the temporary housing to corrode, dissolve, and/or degrade.
    Type: Grant
    Filed: August 3, 2022
    Date of Patent: August 29, 2023
    Assignee: Terves, LLC
    Inventors: Andrew Sherman, Brian Doud, Nicholas Farkas, Kurt Gilbert
  • Patent number: 11459846
    Abstract: A temporary well isolation device which has an axial passage that comprises a temporary housing having an internal cavity containing a chemical material and a temporary barrier or plug member that can be actuated by an external mechanism to allow fluid to flow into the internal chamber and contact the chemical material in the internal chamber. When the chemical material is exposed to fluid, the chemical material causes the temporary housing to corrode, dissolve, and/or degrade.
    Type: Grant
    Filed: August 13, 2020
    Date of Patent: October 4, 2022
    Assignee: TERVES, LLC
    Inventors: Andrew Sherman, Brian Doud, Nicholas Farkas, Kurt Gilbert
  • Patent number: 11075129
    Abstract: Implementations of a substrate carrier may include: a top ring configured to enclose an edge of a first side of a substrate; and a bottom support configured to enclose an entire second side and an edge of the second side of the substrate.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: July 27, 2021
    Assignee: SEMICONDUCTOR COMPONENTS INDUSTRIES, LLC
    Inventor: Michael J. Seddon
  • Patent number: 9099298
    Abstract: A substrate cleaning device is capable of removing more diverse contaminants from substrates than ultra-low temperature aerosol ejection, while avoiding technical problems inherent to wet cleaning, such as micro-roughness, watermarks, loss of substrate material and destruction of the device structure. A substrate cleaning device for cleaning wafers to which cleaning target objects have adhered includes a cluster spraying unit which sprays the wafer with one or more types of clusters formed of cleaning preparation molecules agglomerated together, a suction unit which sucks the cleaning target objects separated by spraying the clusters of the cleaning agent molecules; and a unit for moving the wafer and the cluster spraying unit relative to the one another along the surface of the wafer W to which the cleaning target objects have adhered.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: August 4, 2015
    Assignees: TOKYO ELECTRON LIMITED, IWATANI CORPORATION
    Inventors: Kazuya Dobashi, Takashi Fuse
  • Publication number: 20140354938
    Abstract: The present invention provides a curved display manufacturing method which can prevent phenomenon that outer surface edges of substrates are broken or pared unevenly while etchant is being injected, a curved display panel manufacturing using the same, and a curved display panel manufactured by the same. For this, a curved display panel manufacturing apparatus includes: an etching portion for partially paring outer portions of a first substrate and a second substrate such that thicknesses thereof are reduced to predetermined thicknesses; and a bending portion for bending the partially pared flat liquid crystal panel to a desired curved shape. The etching portion includes: at least one edge protection cover which is disposed on outer surface edge of the first substrate and the second substrate; and an injection nozzle which injects etchant to outer surfaces of the first substrate and the second substrate and to the edge protection cover.
    Type: Application
    Filed: November 6, 2012
    Publication date: December 4, 2014
    Applicant: TOVIS CO., LTD.
    Inventor: Yong-Beom Kim
  • Publication number: 20140346647
    Abstract: A monitoring structure and a relevant monitoring method for the silicon wet etching depth are provided. The structure includes a wet etched groove formed on a monocrystalline silicon material with at least two top surfaces thereof being rectangular; and the top surface widths of the grooves are Wu and Wl respectively, Wu=du/0.71, and Wl=du/0.71, where du is the maximum wet etching depth to be monitored, and dl is the minimum of the wet etching depth to be monitored. The method includes: performing anisotropic wet etching on a monocrystalline silicon wafer according to a pattern with a monitoring pattern, forming an etched groove to be monitored and a structure for monitoring the depth of the groove, and then monitoring the structure to monitor the wet etching depth. The etching depth of the groove can be monitored with low costs, and a higher monitoring accuracy is obtained.
    Type: Application
    Filed: November 20, 2012
    Publication date: November 27, 2014
    Inventors: Xinwei Zhang, Changfeng Xia, Chengjian Fan, Wei Su
  • Patent number: 8888355
    Abstract: Discussed are a light guide plate and an apparatus and method for manufacturing the same. The light guide plate manufacturing apparatus includes a stage supporting a substrate for manufacturing the light guide plate, a screen disposed on the substrate supported by the stage, an applying part applying an etching ink onto the screen, and a squeegee pressurizing the screen with the etching ink applied thereon to form a pattern corresponding to a screen pattern in the substrate. The screen pattern corresponding to the pattern to be formed in the substrate is formed in the screen. The etching ink is used for etching the substrate to form the pattern in the substrate. The light guide plate can prevent loss of light due to the pattern, and enhance efficiency of light (emitted from a light source) supplied to a display panel.
    Type: Grant
    Filed: December 19, 2012
    Date of Patent: November 18, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: YoungBae Han, SunHye Kang
  • Patent number: 8882921
    Abstract: A thin film deposition apparatus capable of forming a precise deposition pattern on a large substrate includes a deposition source; a first nozzle disposed at a side of the deposition source having a plurality of first slits; a second nozzle disposed opposite to the first nozzle having a plurality of second slits; and a second nozzle frame bound to the second nozzle so as to support the second nozzle. The second nozzle frame includes two first frame portions spaced apart from each other and disposed in a direction in which the plurality of second slits are arranged, and two second frame portions each connecting the two first frame portions to each other, wherein the second frame portions are curved in the direction in which the plurality of second slits are arranged, so as to form arches.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: November 11, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Choong-Ho Lee, Jung-Min Lee
  • Patent number: 8807075
    Abstract: A shutter disk having a tuned coefficient of thermal expansion is provided herein. In some embodiments, a shutter disk having a tuned coefficient of thermal expansion may include a body formed from a first material comprising at least two components, wherein a ratio of each of the at least two components to one another is selected to provide a coefficient of thermal expansion of the body that is substantially similar to a coefficient of thermal expansion of a second material to be deposited atop the body.
    Type: Grant
    Filed: August 17, 2009
    Date of Patent: August 19, 2014
    Assignee: Applied Materials, Inc.
    Inventor: Karl Brown
  • Publication number: 20140217062
    Abstract: Apparatuses and methods are provided where porous metal is deposited on a substrate, a mask is provided on the porous metal and then an etching is performed.
    Type: Application
    Filed: February 7, 2013
    Publication date: August 7, 2014
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Thomas Kunstmann, Stefan Willkofer, Anja Gissibl, Johann Strasser, Matthias Mueller, Eva-Maria Hess
  • Patent number: 8728334
    Abstract: A protective chuck is disposed on a substrate with a gas layer between the bottom surface of the protective chuck and the substrate surface. The gas layer protects a surface region against a fluid layer covering the substrate surface. In some embodiments, the pressure fluctuation at the gas layers is monitored, and through the dynamic feedback, the gas flow rate can be adjusted to achieve a desired operation regime. The dynamic control of operation regime setting can also be applied to high productivity combinatorial systems having an array of protective chucks.
    Type: Grant
    Filed: November 29, 2011
    Date of Patent: May 20, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Rajesh Kelekar
  • Patent number: 8715518
    Abstract: A protective chuck is disposed on a substrate with a gas bearing layer between the bottom surface of the protective chuck and the substrate surface. The gas bearing layer protects a surface region against a fluid layer covering the substrate surface. The protection of the gas bearing is a non-contact protection, reducing or eliminating potential damage to the substrate surface due to friction. The gas bearing can enable combinatorial processing of a substrate, providing multiple isolated processing regions on a single substrate with different material and processing conditions.
    Type: Grant
    Filed: October 12, 2011
    Date of Patent: May 6, 2014
    Assignee: Intermolecular, Inc.
    Inventor: Rajesh Kelekar
  • Patent number: 8702901
    Abstract: According to one embodiment, a method is disclosed for manufacturing a reflective mask. The method can include forming a reflection layer on a major surface of a substrate. The method can include forming an absorption layer on the reflection layer. The method can include forming a pattern region in the absorption layer. In addition, the method can include forming a light blocking region surrounding the pattern region in the absorption layer and the reflection layer. The forming the light blocking region includes etching-processing the reflection layer using a gas containing chlorine and oxygen.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: April 22, 2014
    Assignees: Shibaura Mechatronics Corporation, Kabushiki Kaisha Toshiba
    Inventors: Tomoaki Yoshimori, Makoto Karyu, Takeharu Motokawa, Kosuke Takai, Yoshihisa Kase
  • Publication number: 20140092605
    Abstract: Discussed are a light guide plate and an apparatus and method for manufacturing the same. The light guide plate manufacturing apparatus includes a stage supporting a substrate for manufacturing the light guide plate, a screen disposed on the substrate supported by the stage, an applying part applying an etching ink onto the screen, and a squeegee pressurizing the screen with the etching ink applied thereon to form a pattern corresponding to a screen pattern in the substrate. The screen pattern corresponding to the pattern to be formed in the substrate is formed in the screen. The etching ink is used for etching the substrate to form the pattern in the substrate. The light guide plate can prevent loss of light due to the pattern, and enhance efficiency of light (emitted from a light source) supplied to a display panel.
    Type: Application
    Filed: December 19, 2012
    Publication date: April 3, 2014
    Applicant: LG DISPLAY CO., LTD.
    Inventors: YoungBae HAN, SunHye KANG
  • Publication number: 20140041803
    Abstract: In an apparatus and method for treating a wafer-shaped article, a rotary chuck is configured to hold a wafer-shaped article of a predetermined diameter such that a surface of the wafer-shaped article facing the rotary chuck is spaced from an opposing peripheral surface of the rotary chuck. The opposing peripheral surface comprises a first surface overlapping an outer peripheral edge of a wafer-shaped article when positioned on the spin chuck and a second surface positioned radially inwardly of the first surface and meeting the first surface at an interface that is radially inward of and substantially concentric with a wafer-shaped article when positioned on the rotary chuck. The second surface is substantially more hydrophobic than the first surface.
    Type: Application
    Filed: August 8, 2012
    Publication date: February 13, 2014
    Applicant: LAM RESEARCH AG
    Inventors: Takehito KOSHIZAWA, Noriaki KAMEKAWA, Kei KINOSHITA, Michael PUGGL
  • Patent number: 8617409
    Abstract: A protective chuck is magnetically levitated on a substrate with a gas layer between the bottom surface of the protective chuck and the substrate surface. The gas layer protects a surface region of the substrate against a fluid layer covering the remaining of the substrate surface without contacting the substrate, reducing or eliminating potential damage to the substrate surface. The magnetically levitated protective chuck can enable combinatorial processing of a substrate, providing multiple isolated processing regions on a single substrate with different material and processing conditions.
    Type: Grant
    Filed: November 22, 2011
    Date of Patent: December 31, 2013
    Assignee: Intermolecular, Inc.
    Inventors: Rajesh Kelekar, Kent Riley Child
  • Publication number: 20130334170
    Abstract: Techniques for fabricating thin single crystal diamond films from a diamond structure having a top surface including implanting a dose of ions at a predetermined depth below the top surface to form a damage layer, selectively masking the top surface to expose one or more portions of the diamond structure, vertically etching one or more of the exposed portions to the predetermined depth, and exfoliating the unexposed portion to form at least one thin single crystal diamond film.
    Type: Application
    Filed: August 22, 2013
    Publication date: December 19, 2013
    Inventors: Dirk R. Englund, Richard Osgood, Ophir Gaathon
  • Patent number: 8591697
    Abstract: A mask fixture for etching an item includes: a top fixture disposed over the item, including a reservoir centered within the top fixture for containing an etchant; a bottom fixture underneath the item to be etched including a recessed surface area centered within the bottom fixture; and an etch-resistant window for holding the item to be etched, the etch-resistant window disposed entirely within the recessed surface area. In addttion, a small via centered within and intersecting both the top and bottom fixtures acts as a path for a high intensity light beam.
    Type: Grant
    Filed: January 30, 2012
    Date of Patent: November 26, 2013
    Assignee: International Business Machines Corporation
    Inventor: Arthur Wood Ellis
  • Patent number: 8557044
    Abstract: A shadow mask, a method of manufacturing the shadow mask, and a method of forming a thin film using the shadow mask are provided. The shadow mask includes an upper layer and a lower layer. The upper layer includes a first opening. The lower layer is formed on a lower surface of the upper layer around the first opening and includes an opening having the same size as the first opening. When the thin film is formed using the shadow mask, the lower layer of the shadow mask is close to the edge of a cavity of a substrate, and a position on which the thin film may be formed as defined by the lower layer of the shadow mask. Therefore, the thickness of the thin film can be uniform.
    Type: Grant
    Filed: March 29, 2007
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Seok-whan Chung, Seok-jin Kang, Hyun-koo Jeong
  • Patent number: 8500907
    Abstract: The invention relates to a masking system for masking a cylinder bore (2) of a combustion engine (3) during a thermal coating procedure including a masking body (4) which can be placed during the thermal coating of a first cylinder (5) of the combustion engine (3) in the cylinder bore (2) of a second cylinder (7) to cover a cylinder wall (6) of the second cylinder (7). In this arrangement the masking body (4) is designed in such a way that a flow gap (10) of predeterminable breadth can be set between the masking body (4) and the cylinder wall (6) of the second cylinder (7) for the production of a flow (8) of a fluid (9).
    Type: Grant
    Filed: July 11, 2007
    Date of Patent: August 6, 2013
    Assignee: Sulzer Metco AG
    Inventors: Christian Bohnheio, Gerard Barbezat
  • Patent number: 8486195
    Abstract: An atomic layer deposition apparatus includes a chamber, a vacuum pump to control a pressure in the chamber, a gas supply unit to supply a reaction gas into the chamber, a substrate holder disposed between the vacuum pump and the gas supply unit and having a heater, a mask assembly disposed between the substrate holder and the gas supply unit and having a cooling path to move coolant, and a coolant source to supply the coolant into the cooling path. The mask assembly is positioned a first distance from a substrate, and coolant is supplied into the cooling path of the mask assembly. The substrate is heated using the heater of the substrate holder, a pressure of the chamber is controlled using the vacuum pump, and reaction gasses are sequentially supplied through the gas supply unit.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 16, 2013
    Assignee: Samsung Display Co., Ltd.
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Min-Jae Jeong, Jong-Won Hong, Yun-Mo Chung, Eu-Gene Kang, Seok-Rak Chang, Jin-Wook Seo, Ji-Su Ahn, Tae-Hoon Yang, Young-Dae Kim, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Jae-Wan Jung, Jong-Ryuk Park, Bo-Kyung Choi, Sang-Hyun Yun
  • Publication number: 20130115779
    Abstract: In some embodiments, the present invention discloses sealing mechanisms for generating site isolated regions on a substrate, allowing combinatorial processing without cross contamination between regions. The sealing mechanism can include a thin sharp edge ring for pressing on the substrate surface with small contact area. The small sealing area can concentrate the sealing force, generating higher contact pressure to guard against fluid leakage across the sealing surface, for example, eliminating fluid wicking at the seal interface through capillary action. The sealing mechanism can include multiple protrusions, which contacts the substrate leaving a small gap at the remaining portion of the sealing mechanism. The sealing mechanism can include minimal contact points with the substrate, which can significantly reduce the particle generation during processing. A pressure differential can be established across the sealing surface to prevent fluid leakage.
    Type: Application
    Filed: October 26, 2012
    Publication date: May 9, 2013
    Applicant: INTERMOLECULAR, INC.
    Inventor: Intermolecular, Inc.
  • Publication number: 20130112653
    Abstract: In a display glass window processing device and a method thereof, the display glass window processing device includes a worktable for supporting an unprocessed glass, a rotator for rotating the unprocessed glass, and a sprayer for spraying an etchant onto the unprocessed glass. A first protection film attached to one side of the unprocessed glass arranged opposite the sprayer, and a second protection film is attached to another side of the unprocessed glass arranged opposite the worktable. The first protection film exposes an edge of the unprocessed glass so that the edge is etched by the etchant so as to become rounded.
    Type: Application
    Filed: January 6, 2012
    Publication date: May 9, 2013
    Applicant: SAMSUNG MOBILE DISPLAY CO., LTD.
    Inventor: Sung-Ki JANG
  • Publication number: 20130078747
    Abstract: A method for selectively etching a substrate includes providing a template having opening portions formed on an upper surface in a predetermined pattern and flow channels penetrating through the template from the opening portions to a lower surface of the template, filling an etching solution into the flow channels, coupling the upper surface of the template to a substrate such that the opening portions correspond to the predetermined pattern of through holes to be formed through the substrate, and supplying the etching solution onto the substrate through the opening portions of the template such that the through holes are etched through the substrate.
    Type: Application
    Filed: November 16, 2012
    Publication date: March 28, 2013
    Applicant: Tokyo Electron Limited
    Inventor: Tokyo Electron Limited
  • Patent number: 8361230
    Abstract: Aspects of the present invention are directed to a mask holder for especially large-surface substrates, especially for the micro-structuring of organic electroluminescent materials (OLED) for the production of OLED screens, displays and the like by means of vacuum-coating processes, with a substrate carrier for receiving the substrate during coating processes, with the substrate carrier comprising one or more magnets and the mask features a frame of magnetic material, such that the frame of the mask is held by means of the magnets of the substrate carrier relative to the substrate to be coated.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: January 29, 2013
    Assignee: Applied Materials GmbH & Co. KG
    Inventor: Dieter Manz
  • Publication number: 20120247505
    Abstract: Provided is a method and system for increasing etch rate and etch selectivity of a masking layer on a substrate in an etch treatment system, the etch treatment system configured for single substrate processing. The method comprises obtaining a supply of steam water vapor mixture at elevated pressure, obtaining a supply of treatment liquid for selectively etching the masking layer over the silicon or silicon oxide at a set etch selectivity ratio, placing the substrate into the etch processing chamber, combining the treatment liquid and the steam water vapor mixture, and injecting the combined treatment liquid and the steam water vapor mixture into the etch processing chamber, wherein the flow of the combined treatment liquid and the steam water vapor mixture is controlled to maintain a set etch rate and a set etch selectivity ratio of the masking layer to silicon or silicon oxide.
    Type: Application
    Filed: March 30, 2011
    Publication date: October 4, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: IAN J. BROWN, WALLACE P. PRINTZ
  • Publication number: 20120186984
    Abstract: A stencil with pattern includes a porous material and a masking pattern layer disposed on the porous material. The masking pattern layer includes a patterned mask area covering a portion of the porous material. When electroplating or etching is performed, the stencil with pattern is laminated with a workpiece so that the patterned mask area covers a portion of a working surface of the workpiece, and is placed into an electroplating tank or etch tank. The portion of the working surface not covered by the patterned mask area undergoes electroplating or etching reaction, resulting in electroplated or etched pattern on the workpiece. There may also be a screen structure interposed between the porous material and the masking pattern layer. The method of forming a pattern on a workpiece using the stencil with pattern has advantages of simplified process, significant time-to-product reduction, and product yield enhancement.
    Type: Application
    Filed: March 25, 2011
    Publication date: July 26, 2012
    Inventor: Hung-Ming LIN
  • Patent number: 8206549
    Abstract: An etching apparatus includes: an etching chamber; a piping unit disposed in an upper portion of the etching chamber and including a plurality of nozzles via which an etchant is sprayed; a substrate mask disposed below the piping unit; and a transfer unit disposed below the substrate mask and used to transfer a substrate. The substrate mask interposed between the piping unit including the nozzles and the substrate has a mesh structure or a plurality of holes or slits. Thus, the generation of microbubbles can be prevented during a wet etching process so that a thin layer formed on the substrate can be etched at a uniform etch rate. Also, a lift unit having a fixing unit may be disposed on a lateral surface of the substrate mask. The lift unit moves the substrate mask up and down so as to obtain a uniform etch rate.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: June 26, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Myeng-Woo Nam, Chang-Soo Kim, Jung-Hyun Kwon
  • Publication number: 20120151847
    Abstract: The disclosure provides to a PDC element protective system including a mask configured to protect a non-leached portion of a leached polycrystalline diamond compact (PDC) element during a leaching process. The mask may be formed from or coated with polytetrafluoroethylene (PTFE). The disclosure also provides a leaching system containing such a mask and a leaching vessel as well as methods of using the protective and leaching systems. The disclosure further provides a Lewis acid-based leaching agent and methods of its use. Finally, the disclosure provides a method of recycling a PDC or carbide element using a Lewis acid-based leaching agent.
    Type: Application
    Filed: June 24, 2011
    Publication date: June 21, 2012
    Inventors: Ram L. Ladi, Carl Edward Wells, Bhupinder Kumar Kataria, Stephen W. Almond
  • Publication number: 20120152460
    Abstract: A test mask set includes a first test mask having a plurality of gate pattern areas disposed therein, each of the plurality of gate pattern areas having one or more gate patterns; and a second test mask having a plurality of active pattern areas disposed therein, each of the plurality of active pattern areas having one or more active patterns. The gate patterns formed in different areas among the plurality of gate pattern areas differ in at least one of a gate spacing or a gate width.
    Type: Application
    Filed: September 21, 2011
    Publication date: June 21, 2012
    Inventors: Ho-Young KIM, Seung-Jae LEE, Bo-Un YOON
  • Patent number: 8187432
    Abstract: An anodizing apparatus for forming an anodized film on the surface of a workpiece (11) made of aluminum or aluminum alloy includes a treatment tank (1) for containing an electrolytic solution, a cathode plate (2) disposed in the treatment tank, a supporting means (3) for supporting the workpiece so as to be immersed in the electrolytic solution, and a power supply (4) for continuously or intermittently applying a short-period bipolar or unipolar pulse voltage or an alternating voltage to between the workpiece and the cathode plate. The cathode plate (2) is arranged in a crosswise direction with respect to the workpiece (11).
    Type: Grant
    Filed: December 17, 2008
    Date of Patent: May 29, 2012
    Assignee: Suzuki Motor Corporation
    Inventors: Tomoharu Yamamoto, Hiroomi Tanaka
  • Publication number: 20120128938
    Abstract: An etching method for selective introduction of structures into surfaces of different substrates, such as glass or glass ceramic substrates, is provided. The method provides for surface structuring using an etch mask. The etchmask allows for the production of very fine structures on the substrate surfaces using liquid etching media. In this method the etch mask is produced on the substrate.
    Type: Application
    Filed: November 18, 2011
    Publication date: May 24, 2012
    Applicant: SCHOTT AG
    Inventors: Eveline Rudigier-Voigt, Matthias Bockmeyer
  • Patent number: 8058186
    Abstract: A focus ring is shaped by cutting off a silicon carbide body formed by a sintering method or a CVD method. The shaped focus ring is exposed to a plasma generated from at least one of a carbon tetra fluoride gas and an oxygen gas for producing impurities, and the impurities are introduced to void-like defects existing in the vicinity of a surface of the focus ring. Subsequently, positrons are injected in the vicinity of the surface of the focus ring into which the impurities are introduced, and the defect density in the vicinity of the surface of the focus ring is detected by the positron annihilation method.
    Type: Grant
    Filed: November 10, 2005
    Date of Patent: November 15, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Tsuyoshi Moriya, Kouji Mitsuhashi, Akira Uedono
  • Patent number: 8038836
    Abstract: A plasma processing apparatus includes a barrier wall member disposed between a plasma generation chamber and a processing chamber to separate the plasma generation chamber from the processing chamber. The barrier wall member assumes a fin structure achieved by disposing in a radial pattern numerous plate-like fin members extending from a central area thereof toward a peripheral edge. An upper end portion of each fin member overlaps a lower end portion of an adjacent fin member. The fin members are disposed with gaps formed between them and are made to range upward with a tilt along the circumferential direction.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: October 18, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Daisuke Hayashi
  • Patent number: 7938907
    Abstract: A device for fabricating a mask by plasma etching a semiconductor substrate comprises a semiconductor substrate part of the area whereof is partially covered by a mask for protecting at least one area that must not be etched and for exposing at least one area including a pattern to be etched, a support for the substrate and means for generating a plasma in the form of a flow of ions toward the substrate. According to the invention the device further comprises means for confining the ions, including a conductive material screen disposed over the substrate and along the limit between the pattern area to be etched and the area not to be etched.
    Type: Grant
    Filed: December 29, 2005
    Date of Patent: May 10, 2011
    Assignee: Alcatel
    Inventors: Michel Puech, Martial Chabloz
  • Publication number: 20100307683
    Abstract: To improve the etch resistance of a resist pattern corresponding to an exposure light source with a short wavelength. After a resist film on a substrate is exposed to light and developed to form a resist pattern, a treatment step of supplying a fluorine-based liquid to the surface of the resist pattern is performed. Thereafter, an etching treatment of a base film using the resist pattern as a mask is performed. This increases the density of fluorine molecules on the surface of the resist pattern before the etching treatment to improve the etch resistance of the resist pattern.
    Type: Application
    Filed: July 29, 2010
    Publication date: December 9, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Mitsuaki IWASHITA, Satoru Shimura, Keiji Tanouchi
  • Patent number: 7803229
    Abstract: An apparatus and a method for compensating uniformity of film thickness are provided. A shielding plate is provided between a vapor deposition object and a evaporation source. During the vapor deposition process, a shielding plate is continuously moved according to film deposition rates, so as to selectively pass or block atoms emitted from the evaporation source to achieve purpose of adjustably depositing.
    Type: Grant
    Filed: February 15, 2007
    Date of Patent: September 28, 2010
    Assignee: Himax Display, Inc.
    Inventors: Da-Shuang Kuan, Chun-Sheng Fan, Chia-Te Lin
  • Patent number: 7794545
    Abstract: Provided is a mask which is used to form on the surface of a substrate a plurality of linear film members disposed in parallel to each other by fixing one surface of the mask to the substrate and supplying a film material through the other surface of the mask by the use of a vapor process. The mask includes: a mask body having a plurality of openings corresponding to patterns of the linear film members; and reinforcement ribs which are disposed to cross the openings and which have a function of preventing deformation of the mask body due to the weight thereof. Here, the reinforcement ribs are disposed close to the other surface of the mask in the thickness direction of the openings.
    Type: Grant
    Filed: December 15, 2006
    Date of Patent: September 14, 2010
    Assignee: Seiko Epson Corporation
    Inventor: Shinichi Yotsuya
  • Publication number: 20100167455
    Abstract: Disclosed is a method for fabrication of a CMOS image sensor capable of improving adhesion between an interlayer insulating film and photoresist. According to embodiments in this disclosure, the CMOS image sensor fabrication method may include: forming a plurality of photodiodes over a semiconductor substrate at regular intervals; forming an interlayer insulating film over the semiconductor substrate including the plurality of photodiodes; applying photoresist over the entirety of the interlayer insulating film; hard-baking the photoresist; conducting exposure and development of the photoresist to expose a part of the interlayer insulating film corresponding to the photodiodes, thereby completing a photoresist pattern; and using the photoresist pattern as a mask to selectively etch the exposed part of the interlayer insulating film.
    Type: Application
    Filed: December 18, 2009
    Publication date: July 1, 2010
    Inventor: Chung-Kyung Jung
  • Publication number: 20100139862
    Abstract: A method for manufacturing a working template for use in imprint lithography is disclosed, which in an embodiment, involves contacting a first target region of an imprintable medium on a working template substrate with a master template to form a first imprint in the medium, the imprint defining a part of a working template pattern, separating the master template from the imprinted medium, contacting a second target region of the medium with the master template to form a second imprint in the medium, the second imprint defining a further part of the working template pattern, and separating the master template from the imprinted medium.
    Type: Application
    Filed: February 12, 2010
    Publication date: June 10, 2010
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Aleksey Yurievich KOLESNYCHENKO, Helmar Van Santen, Yvonne Kruijt-Stegeman
  • Publication number: 20100120194
    Abstract: A method of manufacturing an image sensor includes forming an interlayer dielectric including a metal line on a semiconductor substrate, forming an image sensing part, over which a first doped layer and a second doped layer are stacked, over the interlayer dielectric, forming a via hole exposing the metal line, the via hole passing through the image sensing part and the interlayer dielectric, forming a first barrier layer and a second barrier layer over surfaces defining the via hole, forming a contact plug inside the via hole to have a first height equal to that of the first doped layer, thereby exposing the second barrier layer over the second doped layer inside the via hole, performing a wet etch process on the exposed second barrier layer to form a second barrier pattern having the same height as that of the contact plug, and performing a wet etch process on the first barrier layer to expose the second doped layer within the via hole, thereby forming a first barrier pattern.
    Type: Application
    Filed: November 5, 2009
    Publication date: May 13, 2010
    Inventor: Chung-Kyung Jung
  • Publication number: 20100006541
    Abstract: A mask fixture for etching an item includes: a top fixture disposed over the item, including a reservoir centered within the top fixture for containing an etchant; a bottom fixture underneath the item to be etched including a recessed surface area centered within the bottom fixture; and an etch-resistant window for holding the item to be etched, the etch-resistant window disposed entirely within the recessed surface area. In addition, a small via centered within and intersecting both the top and bottom fixtures acts as a path for a high intensity light beam.
    Type: Application
    Filed: July 14, 2008
    Publication date: January 14, 2010
    Applicant: International Business Machines Corporation
    Inventor: Arthur Wood Ellis
  • Patent number: 7568489
    Abstract: Impurities can be eluted simultaneously from a plurality of local areas of a surface layer of a semiconductor substrate. A supporting unit supports the substrate, and a sample plate is disposed on the surface of the substrate. The sample plate has a plurality of holes that expose the local areas of the surface of the substrate. Eluant is provided onto the local areas of the surface layer of the substrate through the holes in the sample plate. The impurities are thus dissolved by the eluant to produce a sample. A nozzle transfers the sample from the local areas of the surface of the substrate to a plurality of sample cups. Therefore, samples from the surface layer of the substrate may be produced in a short amount of time.
    Type: Grant
    Filed: July 19, 2004
    Date of Patent: August 4, 2009
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sung-Jae Lee, Bok-Soon Ko
  • Publication number: 20090166318
    Abstract: A method of fabricating an integrated circuit includes providing a hard mask that includes at least one first layer and one second layer. An etching step is patterned using the hard mask, and a removal step is performed using an etchant in order to at least partially remove the first layer. The first layer and the second layer are configured in such a way that the first layer is etched by the etchant with a higher etch rate than the second layer.
    Type: Application
    Filed: December 28, 2007
    Publication date: July 2, 2009
    Inventors: Mihel Seitz, Stephan Wege, Mirko Vogt, Juergen Voelkel
  • Publication number: 20090020226
    Abstract: An etching apparatus includes: an etching chamber; a piping unit disposed in an upper portion of the etching chamber and including a plurality of nozzles via which an etchant is sprayed; a substrate mask disposed below the piping unit; and a transfer unit disposed below the substrate mask and used to transfer a substrate. The substrate mask interposed between the piping unit including the nozzles and the substrate has a mesh structure or a plurality of holes or slits. Thus, the generation of microbubbles can be prevented during a wet etching process so that a thin layer formed on the substrate can be etched at a uniform etch rate. Also, a lift unit having a fixing unit may be disposed on a lateral surface of the substrate mask. The lift unit moves the substrate mask up and down so as to obtain a uniform etch rate.
    Type: Application
    Filed: May 21, 2008
    Publication date: January 22, 2009
    Inventors: Myeng-Woo Nam, Chang-Soo Kim, Jung-Hyun Kwon
  • Publication number: 20080230515
    Abstract: The present invention provides a method of forming a circuit pattern on an integrally bonded member, the method not requiring a correction step of a laminate film or a resist film which has been necessary at the time of wet treatment of the integrally bonded member. After a circuit pattern forming metal plate is bonded on a part of a ceramic substrate so as to expose an outer peripheral edge portion of the ceramic substrate in an integrally bonded member, the integrally bonded member is set on a treating apparatus while being covered with a masking member having a window portion from which the circuit pattern forming metal plate of the integrally bonded member is exposed.
    Type: Application
    Filed: May 19, 2008
    Publication date: September 25, 2008
    Applicant: DOWA MINING CO.,LTD
    Inventors: Ken Iyoda, Makoto Namioka, Hideyo Osanai, Susumu Shimada
  • Patent number: 7204911
    Abstract: A microcavity-forming system for making microcavities in a wire (especially a tungsten filament wire). The system has a coating station receiving the wire and applying a polymer coating to the wire. A mask-forming station receives the polymer-coated wire and blows moist air over it to form air bubbles which result in holes in the polymer coating, thereby creating a mask. An etching station receives the wire, as coated with the polymer mask, from the mask-forming station and etches the wire through the holes in the polymer mask to form microcavities in the wire. A stripping station receives the wire from the etching station and removes the polymer mask from the wire, leaving the wire with microcavities. Processes of forming microcavities in a wire and, more generally, of making an etching mask having arrays of holes and conforming to substantially any surface, including an arbitrary curved surface, are provided.
    Type: Grant
    Filed: March 19, 2004
    Date of Patent: April 17, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventor: Xinbing Liu
  • Patent number: 7172674
    Abstract: A device for liquid treatment of a defined area of a wafer-shaped article, especially of a wafer, in which a mask is kept at a defined short distance to the wafer-shaped article such that liquid can be retained between the mask and the defined area of the wafer-shaped article by capillary forces.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: February 6, 2007
    Assignee: SEZ AG
    Inventor: Philipp Engesser
  • Patent number: 6949174
    Abstract: A milling apparatus is provided in which temperature rise of a treatment-object in milling treatment, especially of the substrate thereof, is prevented. In the apparatus, ionization mechanism 2 comprises casing 20d having an opening at the center portion of the face thereof opposing to substrate 5 held by substrate holder 6; a filament is placed at the position where the straight line drawn from the filament to substrate 5 is intercepted by casing 20d; and electromagnets 31, 32 are provided around ionization mechanism 2 for generating a magnetic field to produce magnetic lines extending through opening 20j to substrate 5.
    Type: Grant
    Filed: May 27, 2003
    Date of Patent: September 27, 2005
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hirohito Yamaguchi, Masahiro Kanai, Atsushi Koike, Katsunori Oya
  • Patent number: 6863769
    Abstract: A base body is provided, on which a first sealing ring and a second sealing ring are disposed. A substrate is disposed on the sealing rings in such a way that a cavity is formed between the first sealing ring, the second sealing ring, the base body and the substrate. An etching substance can be introduced into the cavity in order to etch clear a conductive layer that has been applied to the substrate. When a conductive layer that has been applied to the substrate back surface has been uncovered, an electrolyte can be introduced into the cavity, making contact with the conductive layer and therefore the substrate back surface.
    Type: Grant
    Filed: September 12, 2003
    Date of Patent: March 8, 2005
    Assignee: Infineon Technologies AG
    Inventors: Albert Birner, Martin Franosch, Matthias Goldbach, Volker Lehmann, Jörn Lützen