For Temperature Detection Or Control Patents (Class 156/345.27)
  • Patent number: 7108753
    Abstract: A semiconductor processing chamber having a plurality of ribs on an exterior surface of the chamber is provided. The ribs are positioned relative to the chamber such that shadows cast into the chamber by the ribs are offset from one another, thus more uniformly distributing radiant energy entering the chamber. In one embodiment, the ribs are positioned on the exterior surface of the chamber so that they have dissimilar radial distances from a center of the chamber. When a substrate rotates within the chamber, shadows produced by the ribs on a first side of the chamber fall substantially between secondary shadows produced by the ribs on a second side of the chamber. Likewise, shadows produced by the ribs on the second side of the chamber fall substantially between the secondary shadows produced by the ribs on the first side of the chamber.
    Type: Grant
    Filed: October 29, 2003
    Date of Patent: September 19, 2006
    Assignee: ASM America, Inc.
    Inventor: Eric R. Wood
  • Patent number: 7101458
    Abstract: In a plasma processing method and apparatus for monitoring an operating status of a plasma processing apparatus and/or a processing status of an object being processed, emission spectra emitted from a plasma is obtained as optical data when the plasma process is performed on the object. Quantitative data of each emission source is obtained from the obtained optical data by using reference data in a database storing therein emission spectra of a plurality of emission source as the reference data. The operating status of the plasma processing apparatus and/or the processing status of the object being processed is estimated based on changes in the quantitative data of each emission source.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: September 5, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Hin Oh, Yuichi Mimura
  • Patent number: 7090727
    Abstract: A feedthrough device for use in deposition chambers such as chemical vapor deposition chambers and atomic layer deposition chambers and methods using the same in association with such chambers as well as chambers so equipped. The feedthrough device includes an associated heating device to maintain the temperature of the feedthrough device above a predetermined level and thus maintain a temperature differential between the deposition chamber body and a vaporized organometallic precursor as it passes therethrough. The feedthrough device may include a helical groove formed along the surface of a longitudinal body portion thereof to complementarily receive a resistance type cable heater. The heater may further include a temperature sensing device to assist in monitoring and controlling the temperature of the feedthrough device.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Raynald B. Cantin
  • Patent number: 7087119
    Abstract: An apparatus for atomic layer deposition preventing mixing of a precursor gas and an input gas. From the apparatus a flow of the input gas is provided over a surface of the workpiece wherein a beam of the electromagnetic radiation is directed into the input gas in close proximity to the surface of the workpiece, but spaced a finite distance therefrom. The input gas is dissociated by the beam producing a high flux point of use generated reactive gas species that reacts with a surface reactant formed on the surface of the workpiece by a direct flow of the precursor gas flown from the dispensing unit. The surface reactant and reactive gas species react to form a desired monolayer of a material on the surface of the workpiece.
    Type: Grant
    Filed: October 30, 2003
    Date of Patent: August 8, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Gurtej S. Sandhu
  • Patent number: 7075031
    Abstract: A method of and a structure for controlling the temperature of an electrode (4). The electrode is heated prior to etching the first wafer and both a (temporally) stationary and a (spatially) homogeneous temperature of the silicon electrode are maintained. Resistive heater elements (1) are either embedded within the housing of the electrode (3) or formed as part of the electrode. The resistive heater elements form a heater of a multi-zone type in order to minimize the temperature non-uniformity. The resistive heater elements are divided into a plurality of zones, wherein the power to each zone can be adjusted individually, allowing the desirable temperature uniformity of the electrode to be achieved. Preheating the electrode to the appropriate operating temperature eliminates both the “first wafer effect” and non-uniform etching of a semiconductor wafer.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: July 11, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Eric J. Strang, Andrej Mitrovic, Jim Fordemwalt, Wayne L. Johnson
  • Patent number: 6976782
    Abstract: In a plasma processing system, a method of determining the temperature of a substrate is disclosed. The method includes positioning the substrate on a substrate support structure, wherein the substrate support structure includes a chuck. The method further includes creating a temperature calibration curve for the substrate, the temperature calibration curve being created by measuring at least a first substrate temperature with an electromagnetic measuring device, and measuring a first chuck temperature with a physical measuring device during a first isothermal state. The method also includes employing a measurement from the electromagnetic measurement device and the temperature calibration curve to determine a temperature of the substrate during plasma processing.
    Type: Grant
    Filed: November 24, 2003
    Date of Patent: December 20, 2005
    Assignee: Lam Research Corporation
    Inventor: Robert J. Steger
  • Patent number: 6936134
    Abstract: A substrate processing apparatus comprises a heating process chamber in which a heating process is performed for a wafer, a load lock chamber, connected to the heating process chamber, for controlling at least oxygen concentration and pressure, a transferring arm transferring the wafer between the heating process chamber and the load lock chamber, and a gate valve shielding the heating process chamber from the load lock chamber. Thus, an insulation film with high quality can be formed. In addition, the wafer is temporarily placed in the load lock chamber adjacent to the heating process chamber without need to be transferred to another unit. Thus, the transferring time period for the wafer can be shortened. In addition, footprints can be decreased.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 30, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Akira Yonemizu, Shigeyoshi Kojima
  • Patent number: 6923885
    Abstract: A plasma processing apparatus having a sample bench located in a vacuum chamber, a structure disposed at a position opposed to a sample placed on the sample bench and facing a plasma generated in the vacuum chamber, and at least one through-hole disposed in the structure through which a gas flows into the vacuum chamber. An optical transmitter is mounted on a back of the at least one through-hole through which light from the sample passes, which light is detected by way of the optical transmitter.
    Type: Grant
    Filed: December 11, 2003
    Date of Patent: August 2, 2005
    Assignee: Hitachi, Ltd.
    Inventors: Toshio Masuda, Tatehito Usui, Mitsuru Suehiro, Hiroshi Kanekiyo, Hideyuki Yamamoto, Kazue Takahashi, Hiromichi Enami
  • Patent number: 6907924
    Abstract: A chuck body mounts a substrate within a vacuum chamber. Contiguous portions of the substrate and the chuck body form a heat-transfer interface. An intermediate sealing structure seals the chuck body to the substrate independently of any contact between the chuck body and the substrate and forms a separately pressurizable region within the vacuum chamber. A control system promotes flows of fluid through a periphery of the heat-transfer interface within the separately pressurizable region for controlling fluid pressures and related transfers of heat at the heat-transfer interface according to an overall aim of regulating the substrate temperature.
    Type: Grant
    Filed: October 15, 2002
    Date of Patent: June 21, 2005
    Assignee: Veeco Rochester Inc.
    Inventor: Mehrdad M. Moslehi
  • Patent number: 6902622
    Abstract: Systems and methods for epitaxial deposition. The reactor includes a hot wall process cavity enclosed by a heater system, a thermal insulation system, and chamber walls. The walls of the process cavity may comprises a material having a substantially similar coefficient thermal expansion as the semiconductor substrate, such as quartz and silicon carbide, and may include an isothermal or near isothermal cavity that may be heated to temperatures as high as 1200 degrees C. Process gases may be injected through a plurality of ports, and are capable of achieving a fine level of distribution control of the gas components, including the film source gas, dopant source gas, and carrier gas. The gas supply system includes additional methods of delivering gas to the process cavity, such as through temperature measurement devices, and through a showerhead.
    Type: Grant
    Filed: April 10, 2002
    Date of Patent: June 7, 2005
    Assignee: Mattson Technology, Inc.
    Inventors: Kristian E. Johnsgard, David E. Sallows, Daniel L. Messineo, Robert D. Mailho, Mark W. Johnsgard
  • Patent number: 6891124
    Abstract: A method and system for using transmission spectroscopy to measure a temperature of a substrate (135). By passing light through a substrate, the temperature of the substrate can be determined using the band-edge characteristics of the wafer. This in-situ method and system can be used as a feedback control in combination with a variable temperature substrate holder (182) to more accurately control the processing conditions of the substrate. By utilizing a multiplicity of measurement sites the variation of the temperature across the substrate (135) can also be measured.
    Type: Grant
    Filed: January 5, 2001
    Date of Patent: May 10, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Medona B. Denton, Wayne L. Johnson, Murray D. Sirkis
  • Patent number: 6837935
    Abstract: An apparatus forms a diamond film from a microwave plasma by controlling a manufacturing condition based on a spectroscopic measurement of the plasma light emission to obtain a large area of a high-quality diamond film. Using the apparatus, a gas mixture of hydrocarbon gas and hydrogen gas is introduced into a reactor, where the gas mixture is excited by microwave energy which is also introduced into the reactor to generate a plasma, and the light emitted from the plasma is spectroscopically measured using a spectroscope. Furthermore, a formation condition of the diamond film is controlled such that the spectrum of a carbon molecule (C2) falls within a predetermined range of requirement. A carbon molecule vibration temperature is determined from the spectrum, and the formation condition, such as the microwave input power, the reactor pressure, or the gas flow rate, is controlled so that the determined vibration temperature falls within a specified range, especially 2000 to 2800 K.
    Type: Grant
    Filed: August 16, 2002
    Date of Patent: January 4, 2005
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Kiichi Meguro, Takashi Matsuura, Takahiro Imai
  • Patent number: 6818140
    Abstract: A high plasma density etch process for etching an oxygen-containing layer overlying a non-oxygen containing layer on a workpiece in a plasma reactor chamber, by providing a chamber ceiling overlying the workpiece and containing a semiconductor material, supplying into the chamber a process gas containing etchant precursor species, polymer precursor species and hydrogen, applying plasma source power into the chamber, and cooling the ceiling to a temperature range at or below about 150 degrees C. The etchant and polymer precursor species contain fluorine, and the chamber ceiling semiconductor material includes a fluorine scavenger precursor material. Preferably, the process gas includes at least one of CHF3 and CH2F2. Preferably, the process gas further includes a species including an inert gas, such as HeH2 or Ar. If the chamber is of the type including a heated fluorine scavenger precursor material, this material is heated to well above the polymer condensation temperature, while the ceiling is cooled.
    Type: Grant
    Filed: October 31, 2001
    Date of Patent: November 16, 2004
    Inventor: Jian Ding
  • Patent number: 6811651
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Grant
    Filed: June 19, 2002
    Date of Patent: November 2, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Maolin Long
  • Publication number: 20040173311
    Abstract: Disclosed herein is a plasma processing apparatus and a plasma processing method capable of performing plasma processing by performing temperature control of a sample table in accordance with a process step to be performed on a sample. The plasma processing apparatus performs plasma processing on a sample in accordance with a process recipe with the sample being placed on a sample table in which each of a plurality of areas is temperature-controlled by a temperature control means, wherein the process recipe includes a plurality of temperature setting parameters for the sample table, and the plasma processing is performed on the sample in accordance with the process recipe which is prepared for each of a plurality of process steps.
    Type: Application
    Filed: March 4, 2003
    Publication date: September 9, 2004
    Inventors: Tomoyoshi Ichimaru, Motohiko Yoshigai, Hideyuki Yamamoto, Shoji Ikuhara, Akira Kagoshima
  • Publication number: 20040168767
    Abstract: A semiconductor processing apparatus that processes a semiconductor wafer disposed in a process chamber of a processing apparatus main unit 38 comprises: a setting unit 33 for enabling a user to set a temperature of the semiconductor wafer; and a control unit 26 for controlling a processing of the semiconductor wafer based on the temperature of the semiconductor wafer set by the setting unit.
    Type: Application
    Filed: February 27, 2003
    Publication date: September 2, 2004
    Inventors: Seiichiro Kanno, Ryoji Nishio, Ken Yoshioka, Saburou Kanai, Hideki Kihara, Hideyuki Yamamoto
  • Publication number: 20040108066
    Abstract: A temperature measurement opening 30 is formed in a bottom portion of a process vessel 1 of a plasma etching apparatus, the temperature measurement opening 30 having a size not allowing a radio frequency power with a high frequency applied on a susceptor 2 to leak outside. To an external side of the temperature measurement opening 30, a radiation thermometer 31 is attached. The radiation thermometer 31 detects an infrared ray 35 emitted from the inside of a temperature measurement hole 32 formed on a rear face side of the susceptor 2 to measure the temperature of the susceptor 2.
    Type: Application
    Filed: December 2, 2003
    Publication date: June 10, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Toshihiro Hayami, Shosuke Endoh
  • Patent number: 6740196
    Abstract: A rapid thermal anneal (RTA) chamber having one or multiple openings in a chamber wall and a reflective index monitor in the opening or openings, respectively. The reflective index monitor or monitors each measures the infrared reflective index of the reflector plate of the rapid thermal anneal chamber, and sends a corresponding signal to a process controller, an alarm, or both a process controller and an alarm. In the event that the measured reflective index of the reflector plate deviates from the reflective index of a control, the process controller terminates heating operation of the chamber to prevent damage to the semiconductor wafer in the chamber. The alarm may be activated to alert personnel to the need for immediate replacement of the contaminated reflector plate.
    Type: Grant
    Filed: February 21, 2002
    Date of Patent: May 25, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Fu-Su Lee, Juin-Jie Chang, Ching-Shan Lu
  • Patent number: 6736927
    Abstract: A system is disclosed for speeding workpiece thoughput in low pressure, high temperature semiconductor processing reactor. The system includes apparatus for loading a workpiece into a chamber at atmospheric pressure, bringing the chamber down to an intermediate pressure, and heating the wafer while under the intermediate pressure. The chamber is then pumped down to the operating pressure. The preferred embodiments involve single wafer plasma ashers, where a wafer is loaded onto lift pins at a position above a wafer chuck, the pressure is rapidly pumped down to about 40 Torr by rapidly opening and closing an isolation valve, and the wafer is simultaneously lowered to the heated chuck. Alternatively, the wafer can be pre-processed to remove an implanted photoresist crust at a first temperature and the chamber then backfilled to about 40 Torr for further heating to close to the chuck temperature.
    Type: Grant
    Filed: June 10, 2002
    Date of Patent: May 18, 2004
    Assignee: Matrix Integrated Systems, Inc.
    Inventors: Albert Wang, Scott Baron, Prasad Padmanabhan, Gerald M. Cox
  • Publication number: 20040055708
    Abstract: A method for cleaning borosilicate (BSG) and borophosphosilicate (BPSG) films from CDV chambers including controlling the pressure within the chamber, introducing Ar into the chamber, introducing NF3 into the chamber, adjustably spacing a heater relative to the chamber, and adjusting the temperature within the chamber.
    Type: Application
    Filed: September 24, 2002
    Publication date: March 25, 2004
    Applicant: Infineon Technologies Richmond, LP
    Inventors: Shrinivas Govindarajan, Ankur Jain
  • Patent number: 6709519
    Abstract: A method and apparatus for the non-contact in-situ temperature measurement of a material layer during chemical vapor deposition of the material on an underlying substrate are provided. Magnitude modulated UV light having a plurality of separated spectral components is directed at the material being deposited on the substrate. The modulated UV light has a plurality of wavelengths corresponding to different temperature dependencies of absorptance in the deposited material. The separated spectral components are within transparency spectral windows of a plasma media contained in the CVD reactor. A portion of the magnitude modulated UV light is directed as a reference into a comparison device, such as a spectrophotometer. Light reflected from the deposited material is also directed at the comparison device for comparison with the reference light. That is, the magnitudes of the magnitude modulated components of the reflected light and the reference light are compared at more than one spectral component.
    Type: Grant
    Filed: June 4, 2003
    Date of Patent: March 23, 2004
    Assignee: National Semiconductor Corporation
    Inventor: Mikhail Yaroslavsky
  • Patent number: 6700089
    Abstract: An upper electrode unit constituting the upper wall of a processing chamber of an etching device includes a first assembly that includes an upper electrode, a second assembly that supports the first assembly and a third assembly that includes power supply routes. After releasing a second locking mechanism and disengaging the third assembly alone with a removing mechanism, the first assembly is disengaged to perform maintenance on the upper electrode. After locking the second locking mechanism and releasing a first locking mechanism, the removing mechanism is utilized to disengage the second and third assemblies and, as a result, the processing chamber is opened to enable maintenance. By adopting the structure described above, a plasma processing device and a maintenance method thereof, that facilitate maintenance and reduce the workload imposed on the operator, are provided.
    Type: Grant
    Filed: September 28, 2001
    Date of Patent: March 2, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Takaaki Hirooka
  • Patent number: 6688254
    Abstract: Coating temperature during vapor deposition of a ceramic coating on a substrate in a coating box or enclosure is maintained by means of a heat release cover or lid on the coating enclosure and movable in response to temperature in the coating enclosure exceeding a predetermined value so as to release excess heat from the enclosure to maintain coating temperature within an appropriate range.
    Type: Grant
    Filed: September 27, 2001
    Date of Patent: February 10, 2004
    Inventors: Martin A. Callaway, Robert D. Adair, Kenneth S. Murphy, Theodore J. Maniurski
  • Patent number: 6684652
    Abstract: A refrigeration system regulates the temperature of an electrostatic wafer chuck disposed in a process chamber. The refrigeration system includes a heat exchanger disposed in a heat exchange relationship with the electrostatic chuck, a refrigerator, a temperature sensor, and a temperature controller for controlling the refrigerator to cool the coolant withdrawn from the heat exchanger to a desired temperature in response to the temperature detected by the temperature sensor. The heat exchanger forms a coolant passageway inside the electrostatic chuck, and the refrigerator is disposed outside the process chamber. The temperature sensor is disposed within the body of the electrostatic chuck. The temperature of the electrostatic chuck can be regulated so as to be maintained nearly constant because the temperature used to control the cooling of the coolant is measured directly from the body of the electrostatic chuck.
    Type: Grant
    Filed: April 30, 2002
    Date of Patent: February 3, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jin-Man Kim, Yun-Sik Yang, Sang-Jun Chun, Young-Min Min
  • Patent number: 6686284
    Abstract: A chemical mechanical polishing apparatus that is equipped with a chilled retaining ring and a method for using the apparatus are described. The retaining ring is mounted therein a heat transfer means such as a metal tube and flowing therethrough a heat exchanging fluid for carrying away heat from the wafer mounted in the retaining ring, resulting in a temperature reduction in the slurry solution that contacts the wafer. The present invention apparatus and method therefore reduces the delamination problem for low k dielectric materials during polishing and the wafer scratching problem.
    Type: Grant
    Filed: February 6, 2002
    Date of Patent: February 3, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Chi-Wei Chung, Tung-Ching Tseng, Tsu Shih, Syun-Ming Jang
  • Publication number: 20040016508
    Abstract: A plasma processing apparatus having a plasma generating unit, a process chamber capable of having an inside pressure thereof reduced, a process gas supply unit for supplying gas in the process chamber, a specimen table for holding a specimen, a vacuum pumping unit, and a monitor unit. The process chamber includes an outer cylinder having the capability of withstanding a reduced pressure, and an inner cylinder arranged inside the outer cylinder. The monitor unit enables monitoring of a temperature of the inner cylinder of the process chamber continuously or optionally at a time of processing a specimen.
    Type: Application
    Filed: July 11, 2003
    Publication date: January 29, 2004
    Inventors: Toshio Masuda, Kazue Takahashi, Mitsuru Suehiro, Tetsunori Kaji, Saburo Kanai
  • Publication number: 20040011468
    Abstract: According to the present invention, there is disclosed a gas introduction system for temperature adjustment comprising passing a gas whose temperature is managed for the temperature adjustment of an object to be processed between a mounting surface of a mounting base for holding the object to be processed under vacuum and a back surface of the object to be processed through a gas supply line, controlling a flow rate adjustment valve by control means based on a measured pressure of the gas supply line measured by a manometer, and adjusting a gas flow rate to the gas supply line so as to obtain a set pressure, so that the gas pressure can be set to a predetermined value in a short time, and the system is miniaturized with little waste of the gas.
    Type: Application
    Filed: May 22, 2003
    Publication date: January 22, 2004
    Inventors: Jun Hirose, Shinji Hamamoto, Hiroshi Koizumi, Kenichi Nakagawa
  • Publication number: 20040007326
    Abstract: There is provided by this invention a wafer probe for measuring plasma and surface characteristics in plasma processing environment that utilizes integrated sensors on a wafer substrate. A microprocessor mounted on the substrate receives input signals from the integrated sensors to process, store, and transmit the data. A wireless communication transceiver receives the data from the microprocessor and transmits information outside of the plasma processing system to a computer that collects the data during plasma processing. The integrated sensors may be dual floating Langmuir probes, temperature measuring devices, resonant beam gas sensors, or hall magnetic sensors. There is also provided a self-contained power source that utilizes the plasma for power that is comprised of a topographically dependent charging device or a charging structure that utilizes stacked capacitors.
    Type: Application
    Filed: July 12, 2002
    Publication date: January 15, 2004
    Inventors: Gregory A. Roche, Leonard J. Mahoney, Daniel C. Carter, Steven J. Roberts
  • Publication number: 20030226821
    Abstract: An automated process control system configured for controlling a plasma processing system having a chamber, the chamber being configured for processing a substrate. The automatic process control system includes a first sensor disposed within the chamber, the first sensor being configured for making a first plurality of measurements pertaining to a first parameter associated with a structure disposed at least partially within the chamber. The performing the first plurality of measurements is performed during the processing of the substrate. The automatic process control system further includes first logic coupled to receive the first plurality of measurements from the first sensor. The first logic is configured for analyzing using SPC methodologies the first plurality of measurements during the processing.
    Type: Application
    Filed: June 7, 2002
    Publication date: December 11, 2003
    Inventors: Chung-Ho Huang, John A. Jensen
  • Patent number: 6652708
    Abstract: Methods and apparatus for controlling the temperature of a process surface and for conditioning of a process surface are provided. In one example, a temperature controller is described within a CMP system. The CMP system has a first roller and a second roller and a linear belt circulating around the first and second rollers. The linear belt has a width that spans between a first edge and a second edge. The temperature controller includes an array of thermal elements. Each of the thermal elements of the array is independently controlled. The array of thermal elements is positioned between the first roller and the second roller and configured to contact a back surface of the linear belt. The array of thermal elements extends between the first edge and the second edge of the linear belt width.
    Type: Grant
    Filed: December 28, 2001
    Date of Patent: November 25, 2003
    Assignee: Lam Research Corporation
    Inventor: Emil A. Kneer
  • Patent number: 6649019
    Abstract: In the invention, the atmosphere in a vacuum chamber (1) is conditioned using a primary pump (3), a secondary pump (2), speed control means (6, 7) for controlling the speed of the primary pump, and at least first gas treatment means (5) adapted for treating the extracted gases downstream from the primary pump (3). The vacuum chamber (1) is contained in a room (130) having a false floor (36) covering a space (37). The primary pump (3) and the gas treatment means (5) are housed in the available space (37) under the false floor (36), so that the secondary pump (2) can be placed in the immediate vicinity of the vacuum chamber (1), and the primary pump (3) is in the proximity of the vacuum chamber (1).
    Type: Grant
    Filed: October 19, 2001
    Date of Patent: November 18, 2003
    Assignee: Alcatel
    Inventors: Roland Bernard, Eric Chevalier, Gloria Sogan
  • Publication number: 20030201068
    Abstract: An apparatus for use with a deposition chamber includes a temperature control system that communicates with a heating element of the deposition chamber so as to not cause the formation of a thin layer exhibiting a substantially uniform property on an active surface of a semiconductor substrate. The apparatus causes uneven heat distribution across the surface of the substrate. The apparatus may also include a feedback control system that communicates with the temperature control system so as to cause the temperature control system to alter the heat output by the heating element and, thereby, to enhance the uniformity of at least one property of the material layer being deposited.
    Type: Application
    Filed: May 8, 2003
    Publication date: October 30, 2003
    Inventors: Garry Anthony Mercaldi, Don Carl Powell
  • Patent number: 6635144
    Abstract: Apparatus for processing semiconductor wafers includes a processing chamber, a chuck within the chamber for supporting a wafer during processing, a fiberoptic cable having a first end positioned at the surface of the chuck, and an optical pyrometer connected to a second end of the cable. The optical pyrometer measures the temperature of a wafer during processing and measures in situ temperature of plasma-excited cleaning gas introduced into the chamber during subsequent cleaning from walls thereof of unwanted solid deposits within the chamber. The pyrometer is connected to a computer which controls the flow of cleaning gases. When the temperature of the plasma-excited gas reaches a steady-state value the computer stops the flow of cleaning gases into the chamber and thereby stops the cleaning operation.
    Type: Grant
    Filed: April 11, 2001
    Date of Patent: October 21, 2003
    Assignee: Applied Materials, Inc
    Inventors: Zhenjiang Cui, Padmanabhan Krishnaraj, Shamouil Shamouilian
  • Patent number: 6632321
    Abstract: A method and apparatus for monitoring, measuring and/or controlling the etch rate in a dry etch semiconductor wafer processing system. The wafer processing system has a monitoring assembly which comprises an electromagnetic radiation source and detector which interferometrically measures the etch rate. The actual rate of change of the etch as it progresses is measures by this technique and is compared to a model of a desired rate of change in a controller. The error between the actual rate of change and the desired rate of change is then used to vary at least one of the process parameters of the system in a direction tending to null the difference.
    Type: Grant
    Filed: January 5, 1999
    Date of Patent: October 14, 2003
    Assignee: Applied Materials, Inc
    Inventors: Thorsten Lill, David Mui, Michael Grimbergen
  • Publication number: 20030089315
    Abstract: A source gas is supplied into a chamber through a nozzle, and electromagnetic waves are thrown from a plasma antenna into the chamber. The resulting Cl2 gas plasma causes an etching reaction to a plurality of copper protrusions, which are arranged between a substrate and a ceiling member in a discontinuous state relative to the flowing direction of electricity in the plasma antenna, to form a precursor (CuxCly). The precursor (CuxCly) transported toward the substrate controlled to a lower temperature than the temperature of an etched member is converted into only Cu ions by a reduction reaction, and directed at the substrate to form a thin Cu film on the surface of the substrate. The speed of film formation is fast, the cost is markedly decreased, and the resulting thin Cu film is of high quality.
    Type: Application
    Filed: October 22, 2002
    Publication date: May 15, 2003
    Inventors: Ryuichi Matsuda, Naoki Yahata, Hitoshi Sakamoto
  • Publication number: 20030084848
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Application
    Filed: June 19, 2002
    Publication date: May 8, 2003
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Maolin Long
  • Publication number: 20030070758
    Abstract: A substrate to be processed in a high temperature processing chamber is preheated to avoid the problems associated with thermal shock when the substrate is dropped onto a heated susceptor. Preheating is effected by holding the substrate over a susceptor maintained at or near the processing temperature until the temperature of the substrate approaches the processing temperature. Thus, wafer warping and breakage are greatly reduced, and wafer throughput is improved because of time saved in maintaining the susceptor at constant temperature without cool down and reheat periods.
    Type: Application
    Filed: November 8, 2002
    Publication date: April 17, 2003
    Inventors: Paul Jacobson, Ivo Raaijmakers, Ravinder Aggarwal, Robert C. Haro
  • Patent number: 6527927
    Abstract: A vacuum treatment system in which a part (9) is provided inside a vacuum treatment chamber (1). A potential (&phgr;9) which deviates from the system reference potential (&phgr;0) by approximately at least ±12 V is applied to said part. A sensor and/or an actuator (11) is/are arranged on said part. In addition, the invention comprises an electronic unit (13) which is connected to the sensor and/or actuator. Processing signals on the unit (13) is considerably simplified in that the electronic unit (13) is operated as a reference potential on the potential (&phgr;9) of said part (9).
    Type: Grant
    Filed: June 5, 2000
    Date of Patent: March 4, 2003
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Felix Mullis
  • Publication number: 20030015290
    Abstract: A substrate processing apparatus of this invention includes the first chamber which forms a predetermined environment such as a pressure-reduced atmosphere in its internal space, the second chamber which communicates with an external environment through the first valve, and with the first chamber through the second valve, and a thermoregulator which regulates the temperature of a substrate transferred to the second chamber. The substrate is supplied to the first chamber through the second chamber.
    Type: Application
    Filed: July 16, 2002
    Publication date: January 23, 2003
    Applicant: Canon Kabushiki Kaisha
    Inventor: Ryo Edo
  • Publication number: 20030000644
    Abstract: A system for monitoring and/or controlling an etch process associated with a dual damascene process via scatterometry based processing is provided. The system includes one or more light sources, each light source directing light to one or more features and/or gratings on a wafer. Light reflected from the features and/or gratings is collected by a measuring system, which processes the collected light. The collected light is indicative of the etch results achieved at respective portions of the wafer. The measuring system provides etching related data to a processor that determines the desirability of the etching of the respective portions of the wafer. The system also includes one or more etching devices, each such device corresponding to a portion of the wafer and providing for the etching thereof. The processor produces a real time feed forward information to control the etch process, in particular, terminating the etch process when desired end points have been encountered.
    Type: Application
    Filed: June 27, 2001
    Publication date: January 2, 2003
    Inventors: Ramkumar Subramanian, Bhanwar Singh, Michael K. Templeton
  • Publication number: 20020189757
    Abstract: A method and system for using transmission spectroscopy to measure a temperature of a substrate (135). By passing light through a substrate, the temperature of the substrate can be determined using the band-edge characteristics of the wafer. This in-situ method and system can be used as a feedback control in combination with a variable temperature substrate holder (182) to more accurately control the processing conditions of the substrate. By utilizing a multiplicity of measurement sites the variation of the temperature across the substrate (135) can also be measured.
    Type: Application
    Filed: July 2, 2002
    Publication date: December 19, 2002
    Inventors: Medona B. Denton, Wayne L. Johnson, Murray D. Sirkis
  • Publication number: 20020153099
    Abstract: A system is disclosed for speeding workpiece thoughput in low pressure, high temperature semiconductor processing reactor. The system includes apparatus for loading a workpiece into a chamber at atmospheric pressure, bringing the chamber down to an intermediate pressure, and heating the wafer while under the intermediate pressure. The chamber is then pumped down to the operating pressure. The preferred embodiments involve single wafer plasma ashers, where a wafer is loaded onto lift pins at a position above a wafer chuck, the pressure is rapidly pumped down to about 40 Torr by rapidly opening and closing an isolation valve, and the wafer is simultaneously lowered to the heated chuck. Alternatively, the wafer can be pre-processed to remove an implanted photoresist crust at a first temperature and the chamber then backfilled to about 40 Torr for further heating to close to the chuck temperature.
    Type: Application
    Filed: June 10, 2002
    Publication date: October 24, 2002
    Inventors: Albert Wang, Scott Baron, Prasad Padmanabhan, Gerald M. Cox
  • Publication number: 20020153100
    Abstract: A cooling system and a semiconductor apparatus, in which the cooling system may supply a reaction chamber with a coolant (such as helium or a mixture containing helium) during a semiconductor wafer fabrication process. The cooling system may generally include a printed circuit board, a coolant flow controller having a setpoint control to set a flow of coolant by transmitting a voltage signal to the printed circuit board, and a filter for removing undesired noise from the voltage signal to stabilize the flow of coolant.
    Type: Application
    Filed: April 18, 2001
    Publication date: October 24, 2002
    Applicant: Applied Materials, Inc.
    Inventor: Tien-En Hsiao
  • Patent number: 6468384
    Abstract: The present invention provides plasma processing systems and methods for providing a set-point temperature for substrates during plasma processing by controlling clamping force or RF power. The plasma processing system includes a plasma chamber, a controller, and an electrostatic power supply. The plasma chamber is arranged to receive an RF power and a source gas for producing plasma. The plasma chamber includes an electrostatic chuck for clamping a substrate in place during plasma processing. The electrostatic chuck includes an electrode and a sensor, which is arranged to monitor temperature of the substrate being processed. The controller is coupled to the sensor to receive the substrate temperature and is configured to generate a control signal for driving the substrate temperature to the set-point temperature. The electrostatic power supply is coupled between the controller and the electrode in the electrostatic chuck.
    Type: Grant
    Filed: November 9, 2000
    Date of Patent: October 22, 2002
    Assignee: Novellus Systems, Inc.
    Inventors: Vikram Singh, Robert J. Whiting, Paul K. Shufflebotham, Ajay Saproo
  • Publication number: 20020148562
    Abstract: A plasma reaction apparatus or a plasma reaction method have a configuration in which high-potential and low-potential electrodes are placed in a reactor and in which an inorganic dielectric having a structure permitting a gas to flow therethrough is filled between the electrodes, and is adapted to generate a discharge between the electrodes to change a gas existing between the electrodes into a plasma. The plasma reaction apparatus and method are configured to control the temperature inside the reactor and thereby process the gas on the basis of a stable plasma reaction.
    Type: Application
    Filed: April 2, 2002
    Publication date: October 17, 2002
    Inventors: Hiromi Aoyagi, Toshiji Nishiguchi, Junichi Tamura
  • Patent number: 6440264
    Abstract: A system for manufacturing a photocathode includes a cap having a first end and a second end. The first end defines a passage operable to direct an etch compound to an etch surface of the photocathode. The system also includes a support operable to releasably engage the cap to align the etch surface of the photocathode with the passage of the cap. The system also includes a plunger operable to extend through a passage in the support to secure the photocathode against the cap to confine the etch compound to the etch surface of the photocathode.
    Type: Grant
    Filed: September 20, 1999
    Date of Patent: August 27, 2002
    Assignee: Litton Systems, Inc.
    Inventors: James D. Pruet, David G. Couch
  • Publication number: 20020104619
    Abstract: The present invention is generally directed to a system and process for rotating semiconductor wafers in thermal processing chambers, such as rapid thermal processing chambers and chemical vapor deposition chambers. In accordance with the present invention, a semiconductor wafer is supported on a substrate holder which, in turn, is supported on a rotor. During processing, the rotor is magnetically levitated and magnetically rotated by suspension actuators and rotation actuators positioned outside of the chamber.
    Type: Application
    Filed: February 2, 2001
    Publication date: August 8, 2002
    Inventors: Zion Koren, Yorkman Ma, Rudy Santo Tomas Cardema, James Tsuneo Taoka, Lois Wride, Craig McFarland, Shawn Gibson
  • Publication number: 20020066534
    Abstract: A process for forming a thin layer exhibiting a substantially uniform property on an active surface of a semiconductor substrate. The process includes varying the temperature within a reaction chamber while a layer of a material is formed upon the semiconductor substrate. Varying the temperature within the reaction chamber facilitates temperature uniformity across the semiconductor wafer. As a result, a layer forming reaction occurs at a substantially consistent rate over the entire active surface of the semiconductor substrate. The process may also include oscillating the temperature within the reaction chamber while a layer of a material is being formed upon a semiconductor substrate.
    Type: Application
    Filed: January 14, 2002
    Publication date: June 6, 2002
    Inventors: Garry Anthony Mercaldi, Don Carl Powell