For Temperature Detection Or Control Patents (Class 156/345.27)
  • Publication number: 20140051257
    Abstract: An etchant is supplied to a workpiece. Furthermore, the workpiece is irradiated with spatially modulated light to adjust a temperature profile of said workpiece while etchant is supplied.
    Type: Application
    Filed: August 16, 2012
    Publication date: February 20, 2014
    Applicant: Infineon Technologies AG
    Inventor: Karl Pilch
  • Publication number: 20140034608
    Abstract: A chuck for a plasma processor comprises a temperature-controlled base, a thermal insulator, a flat support, and a heater. The temperature-controlled base has a temperature below the desired temperature of a workpiece. The thermal insulator is disposed over the temperature-controlled base. The flat support holds a workpiece and is disposed over the thermal insulator. A heater is embedded within the flat support and/or disposed on an underside of the flat support. The heater includes a plurality of heating elements that heat a plurality of corresponding heating zones. The power supplied and/or temperature of each heating element is controlled independently.
    Type: Application
    Filed: August 13, 2013
    Publication date: February 6, 2014
    Applicant: Lam Research Corporation
    Inventors: Neil Benjamin, Robert J. Steger
  • Publication number: 20140024142
    Abstract: A plasma processing tool for fabricating a semiconductor device on a semiconductor wafer includes an optical window disposed on a plasma chamber, remotely from a plasma region. The window is thermally connected to an electrical heater element capable of maintaining the window at a temperature of at least 30° C. A heater controller provides electrical power to the heater element. During operation of the plasma processing tool, the heater controller provides power to the heater element so as to maintain the window at a temperature of at least 30° C. during at least a portion of a plasma process step in which by-products are produced in the plasma chamber.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 23, 2014
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: John Christopher Shriner, Maja Imamovic, Kevin Wiederhold
  • Publication number: 20140017901
    Abstract: The etching of a sacrificial silicon dioxide (SiO2) portion in a microstructure such as a microelectro-mechanical structures (MEMS) by the use an etchant gas, namely hydrogen fluoride (HF) vapour is performed with greater selectivity to other portions within the MEMS, and in particular portions of silicon nitride (Si3N4). This is achieved by the addition of a secondary non-etchant gas suitable for increase the ratio of difluoride reactive species (HF2? and H2F2) to monofluoride reactive species (F?, and HF) within the HF vapour. The secondary non-etchant gas may comprise a hydrogen compound gas. The ratio of difluoride reactive species (HF2? and H2F2) to the monofluoride reactive species (F?, and HF) within the HF vapour can also be increased by setting an etch operating temperature to 20° C. or below.
    Type: Application
    Filed: January 24, 2012
    Publication date: January 16, 2014
    Applicant: MEMSSTAR LIMITED
    Inventor: Anthony O'Hara
  • Publication number: 20140008020
    Abstract: A plasma processing apparatus includes a lower electrode 12 on which a wafer W is provided. A second coolant path 70b is formed in a spiral shape in a region within the lower electrode 12 corresponding to where the wafer W is placed. Further, a first coolant path 70a is formed in a spiral shape to be located in a lower region within the lower electrode 12 corresponding to where the second coolant path 70b is formed. A pipeline 72 connected to a chiller unit 71 is branched into a first pipeline 72a connected to the first coolant path 70a and a second pipeline 72b connected to the second coolant path 70b. A check valve 90 allowing a coolant to flow in one direction is provided on the first pipeline 72a, and a reversing unit 92 reversing a flow direction of the coolant is provided on the pipeline 72.
    Type: Application
    Filed: July 1, 2013
    Publication date: January 9, 2014
    Inventor: Nobuyuki Nagayama
  • Patent number: 8623173
    Abstract: An electrode member for generating plasma includes an electrode plate and a cooling unit having a plurality of thermoelectric modules that are thermally in contact with the electrode plate. The thermoelectric modules may regulate the temperature of the electrode plate based on the Peltier effect.
    Type: Grant
    Filed: June 26, 2008
    Date of Patent: January 7, 2014
    Assignee: Advanced Display Process Engineering Co., Ltd.
    Inventor: Hyoung-Kyu Son
  • Publication number: 20140004706
    Abstract: Provided is a plasma processing apparatus which includes a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to respective refrigerant inlets and respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets. Openings of the upstream-side expansion valves and openings of the downstream-side expansion valves are adjusted so that no change in flow rate of the refrigerant occurs in a plurality of refrigerant channels between the plurality of upstream-side expansion valves and the plurality of downstream-side expansion valves via the plurality of refrigerant channels in a refrigeration cycle allowing the refrigerant to flow therein.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 2, 2014
    Inventors: Go MIYA, Masaru IZAWA, Takumi TANDOU
  • Patent number: 8617348
    Abstract: Etching of nitride and oxide layers with reactant gases is modulated by etching in different process regimes. High etch selectivity to silicon nitride is achieved in an adsorption regime where the partial pressure of the etchant is lower than its vapor pressure. Low etch selectivity to silicon nitride is achieved in a condensation regime where the partial pressure of the etchant is higher than its vapor pressure. By controlling partial pressure of the etchant, very high etch selectivity to silicon nitride may be achieved.
    Type: Grant
    Filed: May 1, 2012
    Date of Patent: December 31, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Xinye Liu, Chiukin Steven Lai
  • Publication number: 20130340937
    Abstract: A plasma processing apparatus includes at least one asymmetry member that causes a non-uniformity of plasma density around the high frequency electrode; and a plasma density distribution controller that is arranged depending on arrangement of the at least one asymmetry member to suppress the non-uniformity of plasma density around the high frequency electrode in the azimuthal direction. The plasma density distribution controller includes a first conductor which has first and second surfaces facing opposite directions to each other and is electrically connected with the rear surface of the high frequency electrode with respect to the first high frequency power; and a second conductor which includes a first connecting portion(s) electrically connected with a portion of the second surface of the first conductor and a second connecting portion electrically connected with a conductive grounding member electrically grounded around the high frequency electrode with respect to the first high frequency power.
    Type: Application
    Filed: August 26, 2013
    Publication date: December 26, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yohei Yamazawa, Naohiko Okunishi, Hironobu Misawa, Hidehito Soeta
  • Patent number: 8608900
    Abstract: A plasma reactor having a reactor chamber and an electrostatic chuck with a surface for holding a workpiece inside the chamber includes a backside gas pressure source coupled to the electrostatic chuck for applying a thermally conductive gas under a selected pressure into a workpiece-surface interface formed whenever a workpiece is held on the surface and an evaporator inside the electrostatic chuck and a refrigeration loop having an expansion valve for controlling flow of coolant through the evaporator. The reactor further includes a temperature sensor in the electrostatic chuck and a memory storing a schedule of changes in RF power or wafer temperature.
    Type: Grant
    Filed: April 21, 2006
    Date of Patent: December 17, 2013
    Assignees: B/E Aerospace, Inc., Applied Materials, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman
  • Patent number: 8591755
    Abstract: A time-dependent substrate temperature to be applied during a plasma process is determined. The time-dependent substrate temperature at any given time is determined based on control of a sticking coefficient of a plasma constituent at the given time. A time-dependent temperature differential between an upper plasma boundary and a substrate to be applied during the plasma process is also determined. The time-dependent temperature differential at any given time is determined based on control of a flux of the plasma constituent directed toward the substrate at the given time. The time-dependent substrate temperature and time-dependent temperature differential are stored in a digital format suitable for use by a temperature control device defined and connected to direct temperature control of the upper plasma boundary and the substrate. A system is also provided for implementing upper plasma boundary and substrate temperature control during the plasma process.
    Type: Grant
    Filed: September 15, 2010
    Date of Patent: November 26, 2013
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8587763
    Abstract: In the present invention, the position of a substrate on a thermal plate is detected when baking after exposure is performed in a first round of patterning. In a second round of patterning, the setting position of the substrate is adjusted based on a detection result of the position before the substrate is mounted on the thermal plate in the baking after exposure. In the baking after exposure in the second round of patterning, the substrate is mounted at the same position with respect to the thermal plate as that in the baking after exposure in the first round of patterning. In performing a plurality of rounds of patterning on a film to be processed, a pattern with a desired dimension is finally formed above the substrate, and the uniformity of the pattern dimension within the substrate is ensured.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: November 19, 2013
    Assignee: Tokyo Elctron Limited
    Inventor: Takahisa Otsuka
  • Patent number: 8580693
    Abstract: Methods and systems for temperature enhanced chucking and dechucking of resistive substrates in a plasma processing apparatus are described herein. In certain embodiments, methods and systems incorporate modulating a glass carrier substrate temperature during a plasma etch process to chuck and dechuck the carrier at first temperatures elevated relative to second temperatures utilized during plasma etching. In embodiments, one or more of plasma heat, lamp heat, resistive heat, and fluid heat transfer are controlled to modulate the carrier substrate temperature between chucking temperatures and process temperatures with each run of the plasma etch process.
    Type: Grant
    Filed: April 5, 2011
    Date of Patent: November 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Sergey G. Belostotskiy, Michael G. Chafin, Jingbao Liu, David Palagashvili
  • Publication number: 20130288483
    Abstract: A dynamically tunable process kit, a processing chamber having a dynamically tunable process kit, and a method for processing a substrate using a dynamically tunable process kit are provided. The dynamically tunable process kit allows one or both of the electrical and thermal state of the process kit to be changed without changing the phyisical construction of the process kit, thereby allowing plasma properties, and hence processing results, to be easily changed without replacing the process kit. The processing chamber having a dynamically tunable process kit includes a chamber body that includes a portion of a conductive side wall configured to be electrically controlled, and a process kit. The processing chamber includes a first control system operable to control one or both of an electrical and thermal state of the process kit and a second control system operable to control an electrical state of the portion of the side wall.
    Type: Application
    Filed: February 13, 2013
    Publication date: October 31, 2013
    Inventors: S.M. Reza Sadjadi, Dmitry Lubomirsky, Hamid Noorbakhsh, John Zheng Ye, David H. Quach, Sean S. Kang
  • Patent number: 8555809
    Abstract: Disclosed herein is a device comprising an evaporator; and a heat exchanger; the heat exchanger being in fluid communication with evaporator; evaporator comprising an outer casing; and an inner casing that is disposed within the outer casing; the inner casing contacting a plate; wherein the inner casing encloses a first conduit that is operative to introduce a carrier fluid into evaporator; and a second conduit that is operative to remove carrier fluid entrained with a precursor; wherein the outer casing is detachably attached to the plate; the plate contacting a first precursor conduit that is operative to introduce the precursor into evaporator from the heat exchanger; where the heat exchanger is disposed proximate to evaporator at a distance effective to maintain the precursor in evaporator at a substantially constant temperature when the ambient temperature around the heat exchanger and evaporator fluctuates by an amount of up to about ±35° C.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: October 15, 2013
    Assignee: Rohm and Haas Electronic Materials, LLC
    Inventors: Egbert Woelk, Ronald L. DiCarlo, Jr.
  • Patent number: 8547085
    Abstract: An arrangement for measuring process parameters within a processing chamber is provided. The arrangement includes a probe arrangement disposed in an opening of an upper electrode. Probe arrangement includes a probe head, which includes a head portion and a flange portion. The arrangement also includes an o-ring disposed between the upper electrode and the flange portion. The arrangement further includes a spacer made of an electrically insulative material positioned between the head portion and the opening of the upper electrode to prevent the probe arrangement from touching the upper electrode. The spacer includes a disk portion configured for supporting an underside of the flange portion. The spacer also includes a hollow cylindrical portion configured to encircle the head portion. The spacer forms a right-angled path between the o-ring and an opening to the processing chamber to prevent direct line-of-sight path between the o-ring and the opening to the processing chamber.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: October 1, 2013
    Assignee: Lam Research Corporation
    Inventors: Jean-Paul Booth, Douglas Keil
  • Publication number: 20130248113
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: May 13, 2013
    Publication date: September 26, 2013
    Applicant: Lam Research Corporation
    Inventors: Phillip Geissbûhler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried
  • Publication number: 20130240144
    Abstract: A plasma processing apparatus and method to control a temperature of a chamber component therein. A process chamber may include a temperature controlled chamber component and at least one remote heat transfer fluid loop comprising a first heat exchanger having a primary side in fluid communication with a heat sink or heat source, and a local heat transfer fluid loop placing the chamber component in fluid communication with a secondary side of the first heat exchanger. The local loop may be of significantly smaller fluid volume than the remote loop(s) and circulated to provide thermal load of uniform temperature. Temperature control of heat transfer fluid in the local loop and temperature control of the chamber component may be implemented with a cascaded control algorithm.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Douglas A. Buchberger, Shane Nevil, Kartik Ramaswamy, Kenneth Collins, Richard Fovell
  • Patent number: 8535479
    Abstract: Provided is a substrate processing apparatus including: a processing chamber for processing a substrate; a material supply unit for supplying a Si material, an oxidation material and a catalyst into the processing chamber; a heating unit for heating the substrate; and a controller for controlling at least the material supply unit and the heating unit, wherein the controller is configured to control the heating unit to heat the substrate with a first photoresist pattern formed thereon at a processing temperature lower than a deformation temperature of a first photoresist constituting the first photoresist pattern, and to control the material supply unit to alternately supply the silicon-containing material and the catalyst, and alternately supply the oxidation material and the catalyst into the processing chamber in a repeated manner to form on the substrate a thin film having a thickness equal to 5% of one half pitch of the first photoresist pattern.
    Type: Grant
    Filed: December 6, 2011
    Date of Patent: September 17, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Norikazu Mizuno, Kenji Kanayama, Kazuyuki Okuda, Yoshiro Hirose, Masayuki Asai
  • Publication number: 20130228283
    Abstract: Systems, methods, and computer programs are presented for controlling the temperature of a window in a semiconductor manufacturing chamber. One apparatus includes an air amplifier, a plenum, a heater, a temperature sensor, and a controller. The air amplifier is coupled to pressurized gas and generates, when activated, a flow of air. The air amplifier is also coupled to the plenum and the heater. The plenum receives the flow of air and distributes the flow of air over a window of the plasma chamber. When the heater is activated, the flow of air is heated during processing, and when the heater is not activated, the flow of air cools the window. The temperature sensor is situated about the window of the plasma chamber, and the controller is defined to activate both the air amplifier and the heater based on a temperature measured by the temperature sensor.
    Type: Application
    Filed: March 27, 2013
    Publication date: September 5, 2013
    Inventors: Jon McChesney, Alex Paterson
  • Publication number: 20130220545
    Abstract: A substrate mounting table and a plasma etching apparatus can supply a power to a temperature controlling heater electrode effectively while preventing atmosphere from being leaked and preventing processing uniformity in a surface of a substrate from being deteriorated. The substrate mounting table and the plasma etching apparatus include an insulating member having therein an electrostatic chuck electrode and a temperature controlling heater electrode; a plate-shaped temperature controlling member having therein a temperature controlling medium path through which a temperature controlling medium is circulated; a cylindrical member made of an insulating material and provided within a through hole formed in the plate-shaped temperature controlling member; and a lead line, provided within the cylindrical member, having one end connected to the temperature controlling heater electrode and the other end connected to a connecting terminal provided at a bottom surface side of the cylindrical member.
    Type: Application
    Filed: February 22, 2013
    Publication date: August 29, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tokyo Electron Limited
  • Publication number: 20130183834
    Abstract: Methods and apparatus for processing a substrate are provided. In some embodiments, a method of processing a substrate disposed in a process chamber includes performing a process on a substrate disposed in a process chamber having a substrate support ring configured to support the substrate and a reflector plate disposed proximate a back side of the substrate; providing a first gas comprising one of an oxygen containing gas or a nitrogen containing gas to a back side of the substrate via one or more through holes disposed in the reflector plate while performing the process on the substrate; and maintaining the process chamber at a first pressure proximate a top surface of the substrate and at a second pressure proximate the bottom surface of the substrate, wherein the first pressure is greater than the second pressure sufficiently to prevent dislodgement of the substrate from the substrate support ring during processing.
    Type: Application
    Filed: January 9, 2013
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.
  • Publication number: 20130180963
    Abstract: Methods and apparatus for regulating the temperature of a component in a plasma-enhanced process chamber are provided herein. In some embodiments, an apparatus for processing a substrate includes a process chamber and an RF source to provide RF energy to form a plasma in the process chamber. A component is disposed in the process chamber so as to be heated by the plasma when formed. A heater is configured to heat the component and a heat exchanger is configured to remove heat from the component. A chiller is coupled to the heat exchanger via a first flow conduit having an on/off flow control valve disposed therein and a bypass loop to bypass the flow control valve, wherein the bypass loop has a flow ratio valve disposed therein.
    Type: Application
    Filed: January 2, 2013
    Publication date: July 18, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: APPLIED MATERIALS, INC.
  • Patent number: 8476561
    Abstract: A device for heating a substrate with light from a flash lamp having a semiconductor switch connected in series to the flash lamp. After triggering of a trigger electrode of the flash lamp, a first drive signal and a second drive signal are output from a gate circuit. The time period when the semiconductor switch is on due to the second drive signal is longer than the time period that the semiconductor switch is on by the first drive signal. Then, the semiconductor switch is switched on and off by the first drive signal and the substrate temperature is increased to a temperature, which is lower than the desired temperature to be achieved, and is maintained a that temperature for a short time, after which the surface temperature of the substrate is increased to the desired target temperature.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: July 2, 2013
    Assignee: Ushiodenki Kabushiki Kaisha
    Inventor: Takehiko Yokomori
  • Publication number: 20130153961
    Abstract: Methods for low temperature cleaning of a semiconductor surface prior to in-situ deposition have high throughput and consume very little of the thermal budget. GeH4 deposits Ge on the surface and converts any surface oxygen to GeOx. An etchant, such as Cl2 or HCl removes Ge and any GeOx and epitaxial deposition follows. A spike in Ge concentration can be left on the substrate from diffusion into the substrate. All three steps can be conducted sequentially in-situ at temperatures lower than conventional bake steps.
    Type: Application
    Filed: December 20, 2011
    Publication date: June 20, 2013
    Applicant: ASM AMERICA, INC.
    Inventors: Nyles W. Cody, Shawn G. Thomas, Pierre Tomasini
  • Patent number: 8465593
    Abstract: A substrate processing apparatus that can prevent formation of deposit in openings of a plurality of gas supply holes leading into a processing chamber. Each of the gas supply holes is configured to uniformly supply a processing gas, whose molecules are turned into clusters, into the processing chamber and to prevent liquefaction of processing gas when the processing gas is supplied into the processing chamber.
    Type: Grant
    Filed: January 15, 2008
    Date of Patent: June 18, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Daisuke Hayashi, Morihiro Takanashi
  • Publication number: 20130126476
    Abstract: A system and method of plasma processing includes a plasma chamber including a substrate support and an upper electrode opposite the substrate support, the upper electrode having a plurality of concentric temperature control zones and a controller coupled to the plasma chamber.
    Type: Application
    Filed: March 15, 2012
    Publication date: May 23, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Alexei Marakhtanov, Rajinder Dhindsa, Ryan Bise, Lumin Li, Sang Ki Nam, Jim Rogers, Eric Hudson, Gerardo Delgadino, Andrew D. Bailey, III, Mike Kellogg, Anthony de la LIera
  • Publication number: 20130130499
    Abstract: A substrate processing method for removing an Si-based film on a surface of a substrate accommodated in a processing chamber includes a first step in which the Si-based film on the surface of the substrate is transformed into a reaction product by a gas containing a halogen element and an alkaline gas in the processing chamber and a second step in which the reaction product is vaporized in the processing chamber which is depressurized to a pressure lower than a pressure during the first step. The first step and the second step are repeated two or more times.
    Type: Application
    Filed: August 2, 2011
    Publication date: May 23, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hajime Ugajin, Shigeki Tozawa
  • Publication number: 20130105081
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber via pulsed application of heating power and pulsed application of cooling power. In an embodiment, fluid levels in each of a hot and cold reservoir coupled to the temperature controlled component are maintained in part by a coupling each of the reservoirs to a common secondary reservoir. Heat transfer fluid is pumped from the secondary reservoir to either the hot or cold reservoir in response to a low level sensed in the reservoir. In an embodiment, both the hot and cold reservoirs are contained in a same platform as the secondary reservoir with the hot and cold reservoirs disposed above the secondary reservoir to permit the secondary reservoir to catch gravity driven overflow from either the hot or cold reservoir.
    Type: Application
    Filed: October 8, 2012
    Publication date: May 2, 2013
    Inventors: Fernando SILVEIRA, Brad L. MAYS
  • Publication number: 20130105442
    Abstract: A stacked proportioning valve having a body with at least two sets of ports disposed at different positions along a longitudinal length of the body, each set of ports including at least three ports at different angular positions to couple to fluid conduits, a rotor disposed in the valve body has at least two sections stacked along the longitudinal length, each section comprising three fluid channels in longitudinal alignment with one of the sets of ports, and a drive shaft affixed to the rotor, the drive shaft to rotate the rotor over angular positions to fluidly couple together pairs of ports in each of the sets of ports synchronously as a function of the rotor's angular position. In embodiments a component of a plasma processing chamber, such as a plasma etch chamber is fluidly coupled by the stack proportioning valve to reservoirs of both a hot and cold chiller.
    Type: Application
    Filed: October 15, 2012
    Publication date: May 2, 2013
    Inventor: Walter R. MERRY
  • Patent number: 8430963
    Abstract: A system for vapor deposition of a thin film layer on photovoltaic (PV) module substrates includes a system for cool-down of the vacuum chamber through which substrates are conveyed in a vapor deposition process. The cool-down system is configured with the vacuum chamber to recirculate a cooling gas through the vacuum chamber and through an external heat exchanger in a closed cool-down loop. An associated method for forced cool-down of the vacuum chamber is also provided.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: April 30, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Jason Scott Paulman, Russell Weldon Black, Mark Jeffrey Pavol
  • Publication number: 20130098554
    Abstract: An improved gas injection assembly for mounting in a central bore of a dielectric window of an inductively coupled plasma chamber includes a window having a central bore and cylindrical recess configured to receive an annular insert having a bayonet opening. The gas injector assembly includes a gas injector, an RF shield surrounding the gas injector, and a faceplate surrounding the RF shield, the faceplate including projections at the bottom thereof for engaging the bayonet opening in the annular insert. The window and gas injection assembly are designed to avoid chipping of the window which is typically made of quartz and in prior mounting arrangements the window has a bayonet opening machined therein. Due to the brittle nature of the quartz material, the machined bayonet opening was subject to chipping when the gas injector assembly was inserted into the bayonet opening.
    Type: Application
    Filed: October 25, 2011
    Publication date: April 25, 2013
    Applicant: Lam Research Corporation
    Inventors: Rish Chhatre, David Schaefer
  • Publication number: 20130068390
    Abstract: Embodiments described herein provide apparatus and methods for processing a substrate. One embodiment comprises a cleaning chamber. The cleaning chamber comprises one or more walls that form a low energy processing region, a plasma generating source to deliver electromagnetic energy to the low energy processing region, a first gas source to deliver a silicon containing gas or a germanium containing gas to the low energy processing region, a second gas source to deliver a oxidizing gas to the low energy processing region, an etching gas source to deliver a etching gas to the low energy processing region, and a substrate support having a substrate supporting surface, a biasing electrode, and a substrate support heat exchanging device to control the temperature of the substrate supporting surface.
    Type: Application
    Filed: November 12, 2012
    Publication date: March 21, 2013
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Patent number: 8394229
    Abstract: A one-piece susceptor ring for housing at least one temperature measuring device is provided. The susceptor ring includes a plate having an aperture formed therethrough and a pair of side ribs integrally connected to a lower surface of the plate. The side ribs are located on opposing sides of the aperture. The susceptor ring further includes a bore formed in each of the pair of side ribs. Each bore is configured to receive a temperature measuring device therein.
    Type: Grant
    Filed: August 7, 2008
    Date of Patent: March 12, 2013
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Bob Haro
  • Publication number: 20130059403
    Abstract: An apparatus is provided for measuring a substrate temperature during an etching process, comprising: one or more windows formed in a substrate supporting surface; a first signal generator configured to pulse a first signal; and a first sensor positioned to receive energy transmitted from the first signal generator through the one or more windows. A method is provided for measuring a substrate temperature during an etching process comprising: heating a substrate using radiant energy; pulsing a first light; determining a metric indicative of total transmittance through the substrate when the first light is pulsed on; determining a metric indicative of background transmittance through the substrate when the first light is pulsed off; and determining a process temperature.
    Type: Application
    Filed: June 30, 2012
    Publication date: March 7, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Jared Ahmad Lee, Jiping Li
  • Publication number: 20130048014
    Abstract: Provided herein are methods and apparatus of hydrogen-based photoresist strip operations that reduce dislocations in a silicon wafer or other substrate. According to various embodiments, the hydrogen-based photoresist strip methods can employ one or more of the following techniques: 1) minimization of hydrogen budget by using short processes with minimal overstrip duration, 2) providing dilute hydrogen, e.g., 2%-16% hydrogen concentration, 3) minimization of material loss by controlling process conditions and chemistry, 4) using a low temperature resist strip, 5) controlling implant conditions and concentrations, and 6) performing one or more post-strip venting processes. Apparatus suitable to perform the photoresist strip methods are also provided.
    Type: Application
    Filed: August 20, 2012
    Publication date: February 28, 2013
    Inventors: Roey Shaviv, Kirk Ostrowski, David Cheung, Joon Park, Bayu Thedjoisworo, Patrick J. Lord
  • Publication number: 20130015159
    Abstract: The invention relates to an apparatus for treating a surface with a at least one gliding arc source comprising at least one gas flow controlling unit (104); and a set of electrodes (102); wherein the at least one gas flow controlling unit (104) and the set of electrodes (102) are controlled to provide a plasma comprising a gas temperature at the set of electrodes (102) above approximately 2000 K. In this way, an optimal or substantially optimal plasma for treating surfaces of samples is achieved.
    Type: Application
    Filed: December 14, 2010
    Publication date: January 17, 2013
    Applicant: Danmarks Tekniske Universitet
    Inventor: Yukihiro Kusano
  • Publication number: 20130008603
    Abstract: According to one embodiment, there is provided a coaxial cable that transmits radio frequency power. The coaxial cable includes an inner tube, an outer tube, and an insulating support member. The inner tube is made of a conductor. The outer tube is disposed outside the inner tube coaxially with the inner tube and is made of a conductor. The insulating support member is disposed between the inner tube and the outer tube. Cooling gas flows into at least one of a first space inside the inner tube and a second space between the inner tube and the outer tube.
    Type: Application
    Filed: March 16, 2012
    Publication date: January 10, 2013
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Hideo Eto, Nobuyasu Nishiyama, Makoto Saito, Junko Ouchi
  • Patent number: 8343280
    Abstract: A method and system for multi-zone control of temperature for a substrate is described. The temperature control system comprises a heat exchanger coupled to two or more fluid channels in a substrate holder configured to support the substrate. The heat exchanger is configured to adjust the temperature of a heat transfer fluid flowing through the two or more fluid channels. The temperature control system further comprises a heat transfer unit having an inlet that is configured to receive heat transfer fluid from the heat exchanger at a bulk fluid temperature. Additionally, the heat transfer unit comprises a first outlet configured to couple a portion of the heat transfer fluid at a first temperature less than the bulk temperature to a first fluid channel of the two or more fluid channels, and a second outlet configured to couple a remaining portion of the heat transfer fluid at a second temperature greater than the bulk fluid temperature to a second fluid channel of the two or more fluid channels.
    Type: Grant
    Filed: March 28, 2006
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Shunichi Iimuro
  • Publication number: 20120325264
    Abstract: An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source.
    Type: Application
    Filed: August 30, 2012
    Publication date: December 27, 2012
    Applicant: R3T GmbH Rapid Reactive Radicals Technology
    Inventor: Josef MATHUNI
  • Patent number: 8337660
    Abstract: A plasma reactor for processing a workpiece includes a reactor chamber, an electrostatic chuck within the chamber having a top surface for supporting a workpiece and having indentations in the top surface that form enclosed gas flow channels whenever covered by a workpiece resting on the top surface. The reactor further includes thermal control apparatus thermally coupled to the electrostatic chuck, an RF plasma bias power generator coupled to apply RF power to the electrostatic chuck, a pressurized gas supply of a thermally conductive gas, a controllable gas valve coupling the pressurized gas supply to the indentations to facilitate filling the channels with the thermally conductive gas for heat transfer between a backside of a workpiece and the electrostatic chuck at a heat transfer rate that is a function of the pressure against the backside of the workpiece of the thermally conductive gas.
    Type: Grant
    Filed: August 12, 2010
    Date of Patent: December 25, 2012
    Assignee: B/E Aerospace, Inc.
    Inventors: Douglas A. Buchberger, Jr., Paul Lukas Brillhart, Richard Fovell, Hamid Tavassoli, Douglas H. Burns, Kallol Bera, Daniel J. Hoffman, Kenneth W. Cowans, William W. Cowans, Glenn W. Zubillaga, Isaac Millan
  • Publication number: 20120318455
    Abstract: Passive wafer gap compensation arrangements and methods relying on temperature-driven dimensional change of thermally expanding component(s) to counteract, substantially or partially, the change in the wafer gap due to chamber component temperature change is provided. The passive arrangements and techniques involve passively raising or lowering the substrate-facing component or the substrate support to counteract, substantially or partially, the gap-narrowing effect or gap-expanding effect of rising temperature, thereby reducing or eliminating the change in the wafer gap due to a change in the chamber component temperature. Cooling arrangement(s) and thermal break(s) are optionally provided to improve performance.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 20, 2012
    Inventors: Andreas Fischer, Gregory Sexton
  • Patent number: 8323410
    Abstract: A high throughput chemical treatment system for processing a plurality of substrates is described. The chemical treatment system is configured to chemically treat a plurality of substrates in a dry, non-plasma environment.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: December 4, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jay R. Wallace, Hiroyuki Takahashi
  • Patent number: 8313610
    Abstract: A temperature control module for a showerhead electrode assembly for a semiconductor material plasma processing chamber includes a heater plate adapted to be secured to a top surface of a top electrode of the showerhead electrode assembly, and which supplies heat to the top electrode to control the temperature of the top electrode; a cooling plate adapted to be secured to and thermally isolated from a surface of a top plate of the showerhead electrode assembly, and to cool the heater plate and control heat conduction between the top electrode and heater plate; and at least one thermal choke adapted to control heat conduction between the heater plate and cooling plate.
    Type: Grant
    Filed: September 24, 2008
    Date of Patent: November 20, 2012
    Assignee: Lam Research Corporation
    Inventor: Rajinder Dhindsa
  • Patent number: 8308962
    Abstract: The efficiency of an etching process may be increased in various ways, and the cost of an etching process may be decreased. Unused etchant may be isolated and recirculated during the etching process. Etching byproducts may be collected and removed from the etching system during the etching process. Components of the etchant may be isolated and used to general additional etchant. Either or both of the etchant or the layers being etched may also be optimized for a particular etching process.
    Type: Grant
    Filed: September 12, 2008
    Date of Patent: November 13, 2012
    Assignee: QUALCOMM MEMS Technologies, Inc.
    Inventors: Philip Floyd, Chok Ho, Teruo Sasagawa, Xiaoming Yan
  • Patent number: 8302554
    Abstract: The present invention is directed to an apparatus and method for rapid cooling of a large substrate in a vacuum environment. A first cooled plate is brought into close proximity with one surface of a flat substrate. The spatial volume between the first cooling plate and the substrate is sealed and brought to a higher pressure than the surrounding vacuum level to increase the cooling efficiency. A second cooled plate is brought into close proximity with the opposite surface of the flat substrate. A second spatial volume between the second cooling plate and the substrate is sealed and the gas pressure is equalized to the gas pressure in the first spatial volume. The equalization of the gas pressure on both sides of the flat substrate eliminates deflection of the substrate and bending stress in the substrate.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: November 6, 2012
    Assignee: Colorada State University Research Foundation.
    Inventors: Kurt L. Barth, Robert A. Enzenroth, Walajabad S. Sampath
  • Patent number: 8304021
    Abstract: A vapor phase deposition apparatus 100 for forming a thin film comprising a chamber 1060, a piping unit 120 for supplying a source material of the thin film into the chamber 1060 in a gaseous condition, a vaporizer 202 for vaporizing the source material in a source material container 112 and supplying the vaporized gas in the piping unit 120 and a temperature control unit 180, is presented.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 6, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Tomoe Yamamoto, Tomohisa Iino
  • Patent number: 8303716
    Abstract: A high throughput processing system having a chemical treatment system and a thermal treatment system for processing a plurality of substrates is described. The chemical treatment system is configured to chemically treat a plurality of substrates in a dry, non-plasma environment. The thermal treatment system is configured to thermally treat a plurality of substrates chemically treated in the chemical treatment system.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jay R. Wallace, Thomas Hamelin
  • Patent number: 8303715
    Abstract: A high throughput thermal treatment system for processing a plurality of substrates is described. The thermal treatment system is configured to thermally treat a plurality of substrates chemically treated in a dry, non-plasma environment.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: November 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Thomas Hamelin, Arthur H. Laflamme, Jr., Gregory R. Whyman
  • Patent number: 8287688
    Abstract: A high throughput chemical treatment system for processing a plurality of substrates is described. The chemical treatment system is configured to chemically treat a plurality of substrates in a dry, non-plasma environment. A substrate support in the chemical treatment system is configured to support a plurality of substrates.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: October 16, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Jay R. Wallace, Hiroyuki Takahashi