For Detection Or Control Of Electrical Parameter (e.g., Current, Voltage, Resistance, Power, Etc.) Patents (Class 156/345.28)
  • Publication number: 20100282711
    Abstract: Provided are a process monitoring apparatus and method. The process monitoring apparatus includes a process chamber in which a process is performed, a probe assembly disposed on the process chamber, and comprising a probe electrode, a plasma generator generating plasma around the probe assembly, and a drive processor applying an alternating current (AC) voltage having at least 2 fundamental frequencies to the probe assembly, and extracting process monitoring parameters.
    Type: Application
    Filed: December 12, 2008
    Publication date: November 11, 2010
    Inventors: Chin-Wook Chung, Minhyong Lee, Sung-Ho Jang, Ik-Jin Choi, Jung-Hyung Kim, Yong-Hyeon Shin
  • Publication number: 20100282414
    Abstract: A plasma processing apparatus including a chamber having an inner wall with a protective film thereon and a sample stage disposed in the chamber in which plasma is generated by supplying high-frequency wave energy to processing gas to conduct plasma processing for a sample on the sample stage using the plasma. The apparatus includes a control device which determines, based on monitor values of a wafer attracting current monitor (Ip) to monitor a current supplied from a wafer attracting power source, an impedance monitor (Zp) to monitor plasma impedance viewed from a plasma generating power source, and an impedance monitor (Zb) to monitor a plasma impedance viewed from a bias power supply, presence or absence of occurrence of an associated one of abnormal discharge in inner parts, deterioration in insulation of an insulating film of a wafer attracting electrode, and abnormal injection in a gas injection plate.
    Type: Application
    Filed: July 23, 2010
    Publication date: November 11, 2010
    Applicant: Hitachi High-Technologies Corp.
    Inventors: Naoshi ITABASHI, Tsutomu Tetsuka, Seiichiro Kanno, Motohiko Yoshigai
  • Publication number: 20100277850
    Abstract: A method for processing a semiconductor wafer comprises measuring data indicating an amount of warpage of the wafer. At least two different voltages are determined, based on the amount of warpage. The voltages are to be applied to respective portions of the wafer by an electrostatic chuck that is to hold the wafer. The at least two different voltages are applied to hold the respective portions of the wafer while performing a fabrication process on the wafer.
    Type: Application
    Filed: April 30, 2009
    Publication date: November 4, 2010
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Pin Chang, Tung Long Lai, Soon Kang Huang
  • Publication number: 20100273332
    Abstract: An apparatus for etching high aspect ratio features is provided. A plasma processing chamber is provided, comprising a chamber wall forming a plasma processing chamber enclosure, a lower electrode, an upper electrode, a gas inlet, and a gas outlet. A high frequency radio frequency (RF) power source is electrically connected to at least one of the upper electrode or lower electrode. A bias power system is electrically connected to both the upper electrode and the lower electrode, wherein the bias power system is able to provide a bias to the upper and lower electrodes with a magnitude of at least 500 volts, and wherein the bias to the lower electrode is pulsed to intermittently. A gas source is in fluid connection with the gas inlet. A controller is controllably connected to the gas source, the high frequency RF power source, and the bias power system.
    Type: Application
    Filed: April 24, 2009
    Publication date: October 28, 2010
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Erik A. Edelberg
  • Publication number: 20100258529
    Abstract: The invention provides a plasma processing apparatus comprising a means for detecting the apparatus condition related to the ion flux quantity of plasma (plasma density) and the distribution thereof for to stabilizing mass production and minimizing apparatus differences.
    Type: Application
    Filed: July 2, 2009
    Publication date: October 14, 2010
    Inventors: Masahito MORI, Tsutomu Tetsuka, Naoshi Itabashi
  • Publication number: 20100243162
    Abstract: A uniformity of plasma density in a target object surface and plasma processing characteristics can be improved. A plasma processing apparatus 10 includes: a processing chamber 100 in which a plasma process is performed on a wafer W; a first high frequency power supply 140 configured to output a high frequency power; a high frequency antenna 120 including an outer coil, an inner coil and n (n is an integer equal to or greater than 1) number of intermediate coil(s) that are concentrically wound about a central axis outside the processing chamber 100; and a dielectric window 105 provided at a part of a wall of the processing chamber 100 and configured to introduce electromagnetic field energy generated from the high frequency antenna 120 into the processing chamber 100.
    Type: Application
    Filed: March 30, 2010
    Publication date: September 30, 2010
    Applicant: Tokyo Electron Limited
    Inventor: Chishio Koshimizu
  • Publication number: 20100243605
    Abstract: Disclosed is an etching method for performing an etching process on an etching target film, which has a dielectric constant smaller than that of a SiO2 film and is formed on a surface of a target object. The etching method includes: mounting the target object on a mounting table in a processing vessel configured to be evacuable; supplying a predetermined etching gas into the processing vessel and converting the etching gas into plasma; and applying a high frequency power of a preset frequency to the mounting table as a bias power under the presence of the etching gas in plasma state. The step of applying the high frequency power includes: a first step of applying a high frequency power of a first frequency as the bias power; and a second step of applying a high frequency power of a second frequency different from the first frequency as the bias power.
    Type: Application
    Filed: August 21, 2007
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tetsuya Nishizuka
  • Publication number: 20100243607
    Abstract: A substrate processing method uses a substrate processing apparatus including a chamber for accommodating a substrate, a lower electrode to mount the substrate, a first RF power applying unit for applying an RF power for plasma generation into the chamber, and a second RF power applying unit for applying an RF power for bias to the lower electrode. The RF power for plasma generation is controlled to be intermittently changed by changing an output of the first RF power applying unit at a predetermined timing. If no plasma state or an afterglow state exists in the chamber by a control of the first RF power applying unit, an output of the second RF power applying unit is controlled to be in an OFF state or decreased below an output of the second RF power applying unit when the output of the first RF power applying unit is a set output.
    Type: Application
    Filed: March 30, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takeshi Ohse, Shinji Himori, Jun Abe, Norikazu Yamada
  • Publication number: 20100248489
    Abstract: There is provided a plasma processing apparatus and a plasma processing method capable of carrying out a stable plasma process by way of improving plasma stabilization and also capable of increasing lifetime of a variable capacitor in a matching unit, as compared to a conventional case. The plasma processing apparatus includes a power modulation unit configured to perform a power modulation for periodically switching a high frequency power from a high frequency power supply between a first power and a second power higher than the first power. The matching unit is configured to stop a matching operation for a first power application time and for a preset time after a second power application is started.
    Type: Application
    Filed: March 30, 2010
    Publication date: September 30, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Genki Koguchi, Akio Morisaki, Yukinori Hanada
  • Patent number: 7799237
    Abstract: A plasma processing apparatus includes a plasma reaction chamber in which a plasma is generated for processing. First and second electrodes are located in the chamber for generating the plasma. First and second RF power sources provide RF power to the first and second electrodes, respectively. The apparatus also includes first and second impedance matching circuits through which the RF power is respectively provided from the first and second RF power supplies to the first and second electrodes. A first plasma controller monitors plasma density and, in response thereto, adjusts the RF power supplied by the first RF power source to the first electrode to achieve a given plasma density. A second plasma controller monitors the ion energy of plasma species impinging on a semiconductor structure associated with the second electrode and, in response thereto, adjusts the RF power supplied by the second RF power source to the second electrode to achieve a given ion energy.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: September 21, 2010
    Assignees: Sony Corporation, Sony Electronics Inc.
    Inventor: Seiji Iseda
  • Publication number: 20100227420
    Abstract: Embodiments of the present invention generally provide an inductively coupled plasma (ICP) reactor having a substrate RF bias that is capable of control of the RF phase difference between the ICP source (a first RF source) and the substrate bias (a second RF source) for plasma processing reactors used in the semiconductor industry. Control of the RF phase difference provides a powerful knob for fine process tuning. For example, control of the RF phase difference may be used to control one or more of average etch rate, etch rate uniformity, etch rate skew, critical dimension (CD) uniformity, and CD skew, CD range, self DC bias control, and chamber matching.
    Type: Application
    Filed: March 4, 2010
    Publication date: September 9, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: SAMER BANNA, VALENTIN N. TODOROW
  • Publication number: 20100218895
    Abstract: A plasma processing apparatus is provided with a replacement time detecting unit, which detects the status of residual charges which attract a semiconductor wafer and detects a time when an electrostatic chuck is to be replaced, at a time when a direct voltage application from a direct current source is stopped and the semiconductor wafer is brought up from the electrostatic chuck.
    Type: Application
    Filed: March 13, 2007
    Publication date: September 2, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kazuyuki Tezuka
  • Publication number: 20100216260
    Abstract: The plasma etching method includes: an etching step of placing, on a stage in a chamber, a substrate in which a prescribed mask pattern is formed by a protective film on a surface of a material to be etched, generating a plasma in the chamber while supplying processing gas to the chamber, and etching a portion of the material corresponding to an opening portion in the mask pattern; a voltage measurement step of, during the etching in the etching step, measuring a voltage at the surface of the material on a side where the mask pattern is formed, through a conductive member that is placed in contact with the surface of the material on the side where the mask pattern is formed; and a control step of controlling an etching condition in the etching step in accordance with a measurement result obtained in the voltage measurement step.
    Type: Application
    Filed: February 24, 2010
    Publication date: August 26, 2010
    Inventor: Shuji Takahashi
  • Publication number: 20100213162
    Abstract: There is provided a plasma etching method capable of achieving a sufficient organic film modifying effect by high-velocity electrons. In forming a hole in an etching target film by plasma etching, a first condition of generating plasma within a processing chamber by way of turning on a plasma-generating high frequency power application unit and a second condition of not generating the plasma within the processing chamber by way of turning off the plasma-generating high frequency power application unit are repeated alternately. Further, a negative DC voltage is applied from a first DC power supply such that an absolute value of the applied negative DC voltage during a period of the second condition is greater than an absolute value of the applied negative DC voltage during a period of the first condition.
    Type: Application
    Filed: February 18, 2010
    Publication date: August 26, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hiromasa Mochiki, Yoshinobu Ooya, Fumio Yamazaki, Toshio Haga
  • Patent number: 7771561
    Abstract: An apparatus and a method for surface treatment of substrates whereby the quality of substrates can be maintained by preventing excessive plasma treatment of substrates. In carrying out the plasma treatment on a surface of the substrate in a reaction chamber, there are provided an emission spectroscopic analysis device or a mass analyzer, and a controller, so that the energy of ions in plasma is controlled to decrease when, e.g., bromine included in the substrate is detected, and the surface treatment to the substrate is controlled to stop when the removal of impurities of the substrate is detected to end. The bromine once separated from the substrate is prevented from adhering again to the substrate and corroding the substrate. Moreover, ions are prevented from being excessively irradiated to the substrate when the removal of impurities ends, thereby reducing damage to the substrate.
    Type: Grant
    Filed: November 13, 2006
    Date of Patent: August 10, 2010
    Assignee: Panasonic Corporation
    Inventors: Naoki Suzuki, Kazuto Nishida, Kazuyuki Tomita
  • Publication number: 20100193128
    Abstract: A surface treatment apparatus generates resonance on a line including an electrode. The surface treatment apparatus has a vacuum container (1) wherein a wafer (4) is stored and vacuum evacuation is made possible; and an upper electrode (3) and a lower electrode (5) arranged to face each other in the vacuum container (1). The surface treatment apparatus is provided with a high frequency power supply (16), which supplies the upper electrode (3) with high frequency power through a matching circuit (17); and a high frequency power supply (18), which supplies the lower electrode (5) with high frequency power through a matching circuit (19). Furthermore, the surface treatment apparatus is provided with a resonance adjusting section (resonance circuit) (60) connected between the lower electrode (5) and the ground; and a treatment gas supplying mechanism (not shown in the figure) for supplying the treatment gas into the vacuum container (1).
    Type: Application
    Filed: July 4, 2008
    Publication date: August 5, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yuuki Koumura, Yasushi Shinno
  • Patent number: 7767053
    Abstract: To effectively prevent a micro arc causing damage to an apparatus and a substrate, by detecting a generation of the micro arc. A substrate processing apparatus is constituted so as to generate a plasma P, by applying a high frequency power to an electrode 210 provided in a processing chamber 200 from a high frequency power supply part 100 through a matching unit 300. A directional coupler 121 is provided between a high frequency power source 111 and the matching unit 300, so that a reflected wave reflected from the electrode 210 and a traveling wave advancing toward the electrode 210 are coupled to a detector 122. The detector 122 outputs a detection signal, when a level of a reflected wave Pr and a differential level thereof exceed each set value. In order to place an initial period of discharge out of a detection period, a delay traveling wave, which is a delayed traveling wave, is also outputted.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: August 3, 2010
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tomohiko Takeda, Ken Sugihara, Katsuyoshi Hamano, Teruo Yoshino, Nobuo Ishimaru
  • Publication number: 20100190350
    Abstract: A plasma etching method for forming a hole in an etching target film by a plasma processing apparatus is provided. The apparatus includes an RF power supply for applying RF power for plasma generation to at least one of upper and lower electrodes, and a DC power supply for applying minus DC voltage to the upper electrode. A first condition that plasma is generated by turning on the RF power supply and minus DC voltage is applied to the upper electrode and a second condition that the plasma is extinguished by turning off the RF power supply and minus DC voltage is applied to the upper electrode are alternately repeated. Etching is performed by positive ions in the plasma under the first condition and negative ions are supplied into the hole by the DC voltage to neutralize positive ions in the hole under the second condition.
    Type: Application
    Filed: January 25, 2010
    Publication date: July 29, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Koichi YATSUDA, Yoshinobu Ooya, Shin Okamoto, Hiromasa Mochiki
  • Publication number: 20100190275
    Abstract: A laser scribing device is provided which comprises at least a laser light source. The laser light source may generate a laser beam for scribing cell lines to form a patterned solar cell module. Furthermore, the laser may emit a light beam for generating a light spot on the surface of the solar cell module. The light beam may be modulated compared with the light beam used for the scribing process. By means of the light spot a particular region of the active area of the solar cell module may be illuminated, and the voltage VOC (L) may be measured at a voltage measurement device. The voltage measurement device is connected between the negative contact area and the positive contact area of the solar cell module. The measured voltage VOC (L) depends on the location of the laser spot on the solar cell module and the intensity of the laser spot.
    Type: Application
    Filed: January 29, 2009
    Publication date: July 29, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Tobias Repmann, Axel Straub
  • Patent number: 7764140
    Abstract: A system and method are provided for delivering power to a dynamic load. The system includes a power supply providing DC power having a substantially constant power open loop response, a power amplifier for converting the DC power to RF power, a sensor for measuring voltage, current and phase angle between voltage and current vectors associated with the RF power, an electrically controllable impedance matching system to modify the impedance of the power amplifier to at least a substantially matched impedance of a dynamic load, and a controller for controlling the electrically controllable impedance matching system. The system further includes a sensor calibration measuring module for determining power delivered by the power amplifier, an electronic matching system calibration module for determining power delivered to a dynamic load, and a power dissipation module for calculating power dissipated in the electrically controllable impedance matching system.
    Type: Grant
    Filed: October 31, 2006
    Date of Patent: July 27, 2010
    Assignee: MKS Instruments, Inc.
    Inventors: Siddharth P. Nagarkatti, Michael Kishinevsky, Ali Shajii, Timothy E. Kalvaitis, William S. McKinney, Jr., Daniel Goodman, William M. Holber, John A. Smith, Ilya Bystryak
  • Publication number: 20100176085
    Abstract: An object is to provide a plasma processing device capable of rightly monitoring existence of plasma discharge and also rightly monitoring existence of abnormal discharge. Another object of the present invention is to provide a method of monitoring a state of plasma discharge in the plasma processing device. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber.
    Type: Application
    Filed: August 21, 2008
    Publication date: July 15, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20100163184
    Abstract: A plasma processing apparatus for processing a surface of a to-be-processed substrate includes a processing chamber, a first electrode provided in the processing chamber, a second electrode arranged in opposition to the first electrode, a main power source for supplying the first or second electrode with power for generating a plasma, a biasing power source for supplying the second or first electrode with biasing power, a gas supplying unit for supplying a processing gas into the processing chamber and a control unit for controlling the main power source, the biasing power source and the gas supplying unit. The control unit performs a control such that, during a time of transition from a stationary state of plasma, in which a plasma processing is to be carried out, to a plasma quenching, an output of the main power source is kept not larger than an output of the biasing power source.
    Type: Application
    Filed: February 12, 2009
    Publication date: July 1, 2010
    Inventors: Takamasa ICHINO, Ryoji Nishio, Tomoyuki Tamura, Shinji Obama
  • Patent number: 7732227
    Abstract: A wall film monitoring system includes first and second microwave mirrors in a plasma processing chamber each having a concave surface. The concave surface of the second mirror is oriented opposite the concave surface of the first mirror. A power source is coupled to the first mirror and configured to produce a microwave signal. A detector is coupled to at least one of the first mirror and the second mirror and configured to measure a vacuum resonance voltage of the microwave signal. A control system is connected to the detector that compares a first measured voltage and a second measured voltage and determines whether the second voltage exceeds a threshold value. A method of monitoring wall film in a plasma chamber includes loading a wafer in the chamber, setting a frequency of a microwave signal output to a resonance frequency, and measuring a first vacuum resonance voltage of the microwave signal.
    Type: Grant
    Filed: September 8, 2006
    Date of Patent: June 8, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Eric J. Strang, Richard Parsons
  • Publication number: 20100132890
    Abstract: An object is to provide a plasma processing device capable of highly accurately monitoring an operation state including whether or not the plasma discharge is executed, whether the discharge is normal or abnormal and whether or not the maintenance work of the vacuum chamber is necessary. A discharge detection sensor 23, in which a dielectric member 21 and a probe electrode unit 22 are combined with each other, is attached to an opening portion 2a provided in a lid portion 2 composing a vacuum chamber. A change in electric potential induced according to a change in plasma discharge in a probe electrode is received by a plurality of wave-form detecting portions and a detection signal is outputted each time a change in electric potential agreeing with a predetermined different condition appears. The detection signal outputted from the corresponding wave-form detecting portion is counted by the plurality of wave-form detecting portions and the counted value is held.
    Type: Application
    Filed: August 21, 2008
    Publication date: June 3, 2010
    Applicant: PANASONIC CORPORATION
    Inventors: Tatsuhiro Mizukami, Kiyoshi Arita, Masaru Nonomura
  • Publication number: 20100136793
    Abstract: In a plasma reactor having an electrostatic chuck, wafer voltage may be determined from RF measurements at the bias input using previously determined constants based upon transmission line properties of the bias input, and this wafer voltage may be used to accurately control the DC wafer clamping voltage.
    Type: Application
    Filed: November 20, 2009
    Publication date: June 3, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Zhigang Chen, Shahid Rauf, Walter R. Merry, Leonid Dorf, Kartik Ramaswamy, Kenneth S. Collins
  • Publication number: 20100130018
    Abstract: Synchronous pulse plasma etching equipment includes a first electrode and one or more second electrodes configured to generate plasma in a plasma etching chamber. A first radio frequency power output unit is configured to apply a first radio frequency power having a first frequency and a first duty ratio to the first electrode, and to output a control signal including information about a phase of the first radio frequency power. At least one second radio frequency power output unit is configured to apply a second radio frequency power having a second frequency and a second duty ratio to a corresponding second electrode among the second electrodes. The second radio frequency power output unit is configured to control the second radio frequency power to be synchronized with the first radio frequency power or to have a phase difference from the first radio frequency power in response to the control signal.
    Type: Application
    Filed: November 24, 2009
    Publication date: May 27, 2010
    Inventors: Ken Tokashiki, Hong Cho, Jeong-Dong Choe
  • Patent number: 7722778
    Abstract: Universal plasma unconfinement detection systems configured to detect the plasma unconfinement condition in the plasma processing chamber and methods therefor. The detection systems and methods are designed to reliably and accurately detect the existence of the plasma unconfinement condition in a process-independent and recipe-independent manner.
    Type: Grant
    Filed: June 28, 2006
    Date of Patent: May 25, 2010
    Assignee: Lam Research Corporation
    Inventors: Andreas Fischer, David Pirkle
  • Patent number: 7695633
    Abstract: A method of processing a workpiece in a plasma reactor includes coupling RF power from at least three RF power source of three respective frequencies to plasma in the reactor, setting ion energy distribution shape by selecting a ratio between the power levels of a first pair of the at least three RF power sources, and setting ion dissociation and ion density by selecting a ratio between the power levels of a second pair of the at least three RF power sources. The three respective frequencies can be an LF frequency, an HF frequency and a VHF frequency, wherein the first pair corresponds to the LF and HF frequencies and the second pair corresponds to the HF and VHF frequencies. Alternatively, the power sources comprise four RF power sources, and wherein the first pair corresponds to an HF frequency and an LF frequency and the second pair corresponds to a VHF frequency and another frequency. In one embodiment, the second pair corresponds to an upper VHF frequency and a lower VHF frequency.
    Type: Grant
    Filed: January 19, 2006
    Date of Patent: April 13, 2010
    Assignee: Applied Materials, Inc.
    Inventor: John P. Holland
  • Patent number: 7691226
    Abstract: An electron temperature measurement method that enables an electron temperature as a plasma parameter to be measured precisely. A plasma is produced in a chamber 11 such that a wafer W is subjected to reactive ion etching therein. An ion energy distribution in the chamber 11 is measured. An ion energy distribution in the chamber 11 is simulated based on a set electron temperature. The measured ion energy distribution and the simulated ion energy distribution are compared. The electron temperature of the plasma is estimated based on results of the comparison mentioned above.
    Type: Grant
    Filed: March 24, 2006
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventor: Kazuki Denpoh
  • Publication number: 20100072172
    Abstract: There are provided a substrate processing apparatus and a substrate processing method realizing an effective reduction of a voltage change of a substrate on an electrode to reduce the variation of incident energy of ions entering the substrate. The substrate processing apparatus includes: a first electrode holding a substrate on a main surface of the first electrode; a second electrode facing the first electrode; a RF power source applying to the first electrode a RF voltage whose frequency is equal to or higher than 40 MHz; and a pulse voltage applying unit applying to the first electrode a pulse voltage decreasing in accordance with a lapse of time, by superimposing the pulse voltage on the RF voltage.
    Type: Application
    Filed: September 18, 2009
    Publication date: March 25, 2010
    Inventors: Akio Ui, Hisataka Hayashi, Takeshi Kaminatsui, Shinji Himori, Norikazu Yamada, Takeshi Ohse, Jun Abe
  • Patent number: 7682480
    Abstract: A method for etching a feature in an etch layer through a photoresist mask over a substrate is provided. A substrate with an etch layer disposed below a photoresist mask is placed in a process chamber. The photoresist mask is conditioned, wherein the conditioning comprises providing a conditioning gas comprising a hydrogen containing gas with a flow rate and at least one of a fluorocarbon and a hydrofluorocarbon with a flow rate to the process chamber; and energizing the conditioning gas to form the conditioning plasma. The conditioning plasma is stepped. An etch plasma is provided to the process chamber, wherein the etch plasma is different than the conditioning plasma. A feature is etched in the etch layer with the etch plasma.
    Type: Grant
    Filed: January 25, 2006
    Date of Patent: March 23, 2010
    Assignee: Lam Research Corporation
    Inventors: Keren Jacobs Kanarik, Aaron Eppler
  • Publication number: 20100059180
    Abstract: The disclosure concerns a manufacturing method of a semiconductor device includes dry-etching a semiconductor substrate or a structure formed on the semiconductor substrate; supplying a solution onto the semiconductor substrate; measuring a specific resistance or a conductivity of the supplied solution; and supplying a removal solution for removing the etching residual material onto the semiconductor substrate for a predetermined period of time based on the specific resistance or the conductivity of the solution, when an etching residual material adhering to the semiconductor substrate or the structure is removed.
    Type: Application
    Filed: November 10, 2009
    Publication date: March 11, 2010
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Tsuyoshi Matsumura, Yoshihiro Uozumi, Kunihiro Miyazaki
  • Publication number: 20100025372
    Abstract: In a plasma processing method, a conductor of an electrostatic chuck (ESC) and an electrode are electrically grounded prior to starting the plasma processing. A DC voltage with a polarity is applied to the conductor at a first time point after loading a substrate on the electrode. Then, the electrode is switched from an electrically grounded state to an electrically floating state at a second time point. A RF power is then applied to the electrode at a third time point. The application of the RF power is stopped at a fourth time point after a specified time has lapsed from the third time point. Then, the electrode is switched from the electrically floating state to the electrically grounded state at a fifth time point. Thereafter, the application of the DC voltage is stopped and the conductor is restored to a ground potential at a sixth time point.
    Type: Application
    Filed: July 31, 2009
    Publication date: February 4, 2010
    Applicant: TOKYO ELECTON LIMITED
    Inventors: Hiroshi Tsujimoto, Toshifumi Nagaiwa, Yuji Otsuka
  • Publication number: 20100006225
    Abstract: To make it possible to suppress deterioration of processing properties of a sample to be processed due to the distortion of ion sheath at the end portion of the sample to be processed or possible to maintain the condition for suppressing the deterioration, so that the acquisition rate of acceptable products can be increased, so as to thereby improve the yield. In a plasma processing apparatus, a minute hole 10 is provided in a focus ring 9 in the vicinity of the inner circumferential portion thereof. Current detecting means 11 is arranged in the bottom portion of the minute hole 10. A high-frequency power is supplied to the focus ring 9 via high-frequency power distributing means 16. A state of distortion of an ion sheath 18 is detected from an amount of current which is changed according to the amount of the high-frequency power supplied to the focus ring 9 and which is detected by current detecting means 11.
    Type: Application
    Filed: August 29, 2008
    Publication date: January 14, 2010
    Inventors: Kanetsu Yokogawa, Hiroyuki Kobayashi, Takumi Tandou, Kenji Maeda, Masaru Izawa
  • Publication number: 20090321391
    Abstract: A plasma processing apparatus includes a plasma-generation high-frequency power supply which generates plasma in a processing chamber, a biasing high-frequency power supply which applies high-frequency bias electric power to an electrode on which a sample is placed, a monitor which monitors a peak-to-peak value of the high-frequency bias electric power applied to the electrode, an electrostatic chuck power supply which makes the electrode electrostatically attract the sample, a self-bias voltage calculating unit which calculates self-bias voltage of the sample by monitoring the peak-to-peak value of the high-frequency bias electric power applied to the electrode, and an output voltage control unit which controls output voltage of the electrostatic chuck power supply based on the calculated self-bias voltage.
    Type: Application
    Filed: August 18, 2008
    Publication date: December 31, 2009
    Inventors: Takamasa ICHINO, Ryoji NISHIO, Shinji OBAMA
  • Publication number: 20090294063
    Abstract: The present invention is to provide a plasma processing apparatus, whose structure can be simplified, and further, which is capable of forming highly effective plasma and obtaining a satisfactory vertical etching property without involving a problem concerning interference. In the plasma processing apparatus according to the invention, a ground electrode provided at a position opposite to a substrate mounting electrode is configured to be a counter electrode, whose potential is in a floating state, and radio frequency power is branched at an arbitrary position of the radio frequency antenna coil, which generates inductive discharge, into the counter electrode through a capacitor so as to share a part of the radio frequency power used for inductive discharge, thereby generating a self-bias in the counter electrode. In the system, there is provided a mechanism for controlling the radio frequency voltage to be applied to the floating electrode uniformly.
    Type: Application
    Filed: August 7, 2009
    Publication date: December 3, 2009
    Applicant: ULVAC INC.
    Inventors: Toshio HAYASHI, Wei CHEN, Kippei SUGITA, Kouji KAGA
  • Publication number: 20090289034
    Abstract: A method for operating one or more plasma processes in a plasma chamber, with at least two power supplies, the method comprising the following process steps: a. carrying out an arc detection for at least one of the power supplies; b. generating at least one signal relating to the arc detection and/or data relating to the arc detection; transferring the at least one signal and/or the data to a plasma process-regulating device and/or to one or more other power supplies or to one or more of the arc diverter devices associated with the other power supplies.
    Type: Application
    Filed: May 26, 2009
    Publication date: November 26, 2009
    Applicant: HUETTINGER Elektronik GmbH + Co. KG
    Inventor: Moritz Nitschke
  • Publication number: 20090277585
    Abstract: In a plasma processing apparatus, a member for propagating high frequency from a high frequency power supply and/or to which the high frequency is applied. A power feed rod is electromagnetically shielded between a matching unit and a bottom plate of a chamber by a coaxial cylindrical conductor connected to a ground potential. A surface potential system disposed in an appropriate distance from the power feed rod in radius direction is installed in the cylindrical conductor, and measures in a non-contact state the electrostatic surface potential of the power feed rod through electrostatic capacitance and provides a controller with a surface potential detection signal including surface potential measurement value information. The controller performs a required signal processing or operation processing on the basis of the surface potential detection signal from the surface potential system, thereby obtaining the measurement value of the DC potential on the power feed rod.
    Type: Application
    Filed: July 21, 2009
    Publication date: November 12, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Satoshi MAEBASHI, Toshihiro HAYAMI, Naoyuki UMEHARA
  • Patent number: 7615132
    Abstract: A plasma processing apparatus suitable for high-speed and high-definition etching is provided. By applying to a wafer chucking electrode 9 a voltage waveform in which an absolute value of high frequency voltage increases with time and switching between a positive voltage and a negative voltage occurs, a rectangular high frequency voltage is caused to be generated in the wafer 10, with the result that the duty ratio of the rectangular high frequency voltage decreases and that the high energy ion ratio in the energy distribution of ions incident on the wafer increases. Therefore, high efficiency and high accuracy etching becomes possible, providing the advantage that the material selection ratio is improved.
    Type: Grant
    Filed: March 9, 2004
    Date of Patent: November 10, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Naoki Yasui, Seiichi Watanabe, Masahiro Sumiya, Hitoshi Tamura
  • Publication number: 20090255800
    Abstract: A plasma processing apparatus includes a vacuum evacuable processing chamber; a first electrode for supporting a substrate to be processed in the processing chamber; a processing gas supply unit for supplying a processing gas into a processing space; a plasma excitation unit for generating a plasma by exciting the processing gas in the processing chamber; a first radio frequency power supply unit for supplying a first radio frequency power to the first electrode to attract ions in the plasma to the substrate; and a first radio frequency power amplitude modulation unit for modulating an amplitude of the first radio frequency power at a predetermined interval. The plasma processing apparatus further includes a first radio frequency power frequency modulation unit for modulating a frequency of the first radio frequency power in substantially synchronously with the amplitude modulation of the first radio frequency power.
    Type: Application
    Filed: March 31, 2009
    Publication date: October 15, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Patent number: 7601240
    Abstract: A plasma processing system includes a first unit for plasma-processing a sample based on a recipe for plasma processing, and a second unit for modifying the recipe in accordance with a monitored value obtained during the plasma processing of the sample in the first unit. A next sample is plasma processed in the first unit based on the modified recipe.
    Type: Grant
    Filed: February 3, 2006
    Date of Patent: October 13, 2009
    Assignee: Hitachi, Ltd.
    Inventors: Akira Kagoshima, Hideyuki Yamamoto, Shoji Ikuhara, Toshio Masuda, Hiroyuki Kitsunai, Junichi Tanaka, Natsuyo Morioka, Kenji Tamaki
  • Publication number: 20090242127
    Abstract: A plasma etching apparatus includes a processing vessel; a lower electrode on which a target substrate is mounted in the processing vessel; an upper electrode disposed in the processing vessel to face the lower electrode in parallel; a processing gas supply unit configured to supply a processing gas into a processing space between the upper and the lower electrode; a first radio frequency power supply unit configured to apply, to the lower electrode, a first radio frequency power for generating plasma of the processing gas; a focus ring covering a top surface peripheral portion of the lower electrode protruding toward a radial outside of the substrate; a DC power supply configured to output a variable DC voltage; and a DC voltage supply network that connects the DC power supply to either one of the focus ring and the upper electrode or both depending on processing conditions of plasma etching.
    Type: Application
    Filed: March 25, 2009
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Chishio KOSHIMIZU, Manabu IWATA, Masanobu HONDA, Hiroyuki NAKAYAMA
  • Publication number: 20090242128
    Abstract: A plasma processing apparatus includes an radio frequency (RF) power supply for applying an RF power for generating a plasma to at least one of an upper and a lower electrode which are disposed to face each other in a processing chamber, a high voltage power supply for applying a high voltage to the lower electrode to electrostatically adsorb the substrate to be held thereon and a control unit for controlling the RF power supply and the high voltage power supply. The control unit controls the high voltage power supply so as to apply a high voltage equal to or less than ?1500 V to the lower electrode.
    Type: Application
    Filed: March 26, 2009
    Publication date: October 1, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kenji TAGO, Hiroshi Tsuchiya, Yuji Otsuka, Hiroshi Tsumjimoto, Toshifumi Nagaiwa, Tsuyoshi Yoshida
  • Patent number: 7585385
    Abstract: A plasma processing apparatus includes a processing chamber for accommodating therein an object to be processed, a plurality of bar-shaped magnets rotatably installed standing around the processing chamber, a rotation driving mechanism for synchronously rotating the bar-shaped magnets, a rotation detection unit for detecting a rotation of a bar-shaped magnet and clocking times corresponding to the detected rotation, and an abnormal rotation determination unit for determining whether the rotation driving mechanism is abnormal by comparing an interval calculated from the clocked times to a time period.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: September 8, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Satoshi Yamazaki, Taira Takase
  • Patent number: 7585685
    Abstract: The voltage of a wafer on the pedestal of an RF plasma reactor is instantly determined from the applied bias current and the applied bias voltage sampled during plasma processing of the wafer using a pair constants. Prior to plasma processing of the wafer, a determination is made of first and second constants based upon electrical characteristics of a transmission line through which RF power is coupled to the pedestal. During plasma processing of the wafer, the wafer voltage is determined by performing the steps of sampling an RF input current and an RF input voltage at the impedance match circuit; multiplying the RF input voltage by the first constant to produce a first product; multiplying the RF input current by the second constant to produce a second product; and computing a sum of the first and second products.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: September 8, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Daniel J. Hoffman
  • Publication number: 20090221150
    Abstract: A method and apparatus are provided for plasma etching a substrate in a processing chamber. A focus ring assembly circumscribes a substrate support, providing uniform processing conditions near the edge of the substrate. The focus ring assembly comprises two rings, a first ring and a second ring, the first ring comprising quartz, and the second ring comprising monocrystalline silicon, silicon carbide, silicon nitride, silicon oxycarbide, silicon oxynitride, or combinations thereof. The second ring is disposed above the first ring near the edge of the substrate, and creates a uniform electric field and gas composition above the edge of the substrate that results in uniform etching across the substrate surface.
    Type: Application
    Filed: February 27, 2009
    Publication date: September 3, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Edward P. Hammond, IV, Jing Zou, Rodolfo P. Belen, Meihua Shen, Nicolas Gani, Andrew Nguyen, David Palagashvili, Michael D. Willwerth
  • Publication number: 20090218324
    Abstract: A method and apparatus for controlling power output of a capacitatively-coupled plasma are provided. A detector is disposed on the power delivery conduit carrying power to one electrode to detect fluctuations in power output to the electrode. The detector is coupled to a signal generator, which converts the RF input signal to a constant control signal. A controller adjusts power input to the RF generator by comparing the control signal to a reference.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventors: David T. Or, Yu Chang, William Kuang, Joel M. Huston, Chien-Teh Kao, Mei Chang
  • Publication number: 20090194235
    Abstract: The object of the invention is to provide a plasma processing apparatus having enhanced plasma processing uniformity. The plasma processing apparatus comprises a processing chamber 1, means 13 and 14 for supplying processing gas into the processing chamber, evacuation means 25 and 26 for decompressing the processing chamber 1, an electrode 4 on which an object 2 to be processed such as a wafer is placed, and an electromagnetic radiation power supply 5A, wherein at least two kinds of processing gases having different composition ratios of O2 or N2 are introduced into the processing chamber through different gas inlets so as to control the in-plane uniformity of the critical dimension while maintaining the in-plane uniformity of the process depth.
    Type: Application
    Filed: March 5, 2009
    Publication date: August 6, 2009
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Kenetsu Yokogawa, Masaru Izawa, Tadamitsu Kanekiyo
  • Publication number: 20090165951
    Abstract: A plasma processing apparatus includes a reaction container with the inner side wall thereof insulated, a sample rest and an antenna arranged in the reaction container. The high-frequency power is supplied to the antenna from a plasma generating power supply, the processing gas is introduced into the reaction container and converted to a plasma, and the sample placed on the sample rest is processed by the plasma. A matching unit for securing the impedance matching is inserted between the plasma generating power supply and a load circuit including the antenna. The matching unit includes a sensor for measuring the impedance characteristic on the load circuit side and a unit for changing the match point and the matching track leading to the match point on the input side of the matching unit in accordance with the measurement by the sensor.
    Type: Application
    Filed: March 9, 2009
    Publication date: July 2, 2009
    Inventors: Naoshi Itabashi, Tsutomu Tetsuka, Atsushi Ito
  • Patent number: 7553679
    Abstract: Plasma parameters such as plasma ion density, wafer voltage, etch rate and wafer current in the chamber are determined from external measurements on the applied RF bias electrical parameters such as voltage and current. The method includes sensing RF parameters corresponding to an input impedance, an input current and an input voltage at the input of the impedance match element to a transmission line coupled between the bias generator and the wafer pedestal. The method continues by computing a junction admittance of a junction between the transmission line and the electrode within the wafer pedestal from the input impedance, input current and input voltage and from parameters of the transmission line. The method further includes providing shunt electrical quantities of a shunt capacitance between the electrode and a ground plane, and providing load electrical quantities of a load capacitance between the electrode and a wafer on the pedestal.
    Type: Grant
    Filed: August 23, 2006
    Date of Patent: June 30, 2009
    Assignee: Applied Materials, Inc.
    Inventor: Daniel J. Hoffman