With Robot Arm Connected By Doors To Plural Other Chambers (i.e., Cluster Tool) Patents (Class 156/345.32)
  • Patent number: 7276124
    Abstract: A shutter assembly for a reactor includes a cylindrical shutter for selectively closing a passthrough opening of the reactor, the shutter forming a closed loop and including an internal cavity adapted to receive a cooling fluid, tubing connected with the cylindrical shutter for supplying the cooling fluid to the internal cavity, and an actuator connected with the tubing for moving the tubing and the cylindrical shutter. The cylindrical shutter is movable between a first substantially closed position and a second substantially open position.
    Type: Grant
    Filed: May 13, 2005
    Date of Patent: October 2, 2007
    Assignee: Veeco Instruments Inc.
    Inventors: Alex Gurary, Scott Elman, Keng Moy, Vadim Boguslavskiy
  • Publication number: 20070175395
    Abstract: A vacuum apparatus includes a first isolation chamber, a second isolation chamber, a vacuum source configured to extract air from the first and second isolation chambers, and an isolation valve unit, wherein the isolation valve unit is configured to close a flow path between the vacuum source and the first isolation chamber before opening a flow path between the vacuum source and the second isolation chamber when the first isolation chamber is in a vacuum state and the second isolation chamber is at a pressure higher than that of the first isolation chamber.
    Type: Application
    Filed: January 26, 2007
    Publication date: August 2, 2007
    Inventor: Sang-Do Oh
  • Patent number: 7247207
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber having a processing table for supporting an object to be processed and carrying out processing using a gas. The vacuum processing chamber has an axisymmetric structure, including a double wall structure, and a gate valve for sealing an opening through which the object enters the processing chamber.
    Type: Grant
    Filed: March 30, 2004
    Date of Patent: July 24, 2007
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Akitaka Makino, Youji Takahashi, Minoru Soraoka, Hideki Kihara, Susumu Tauchi
  • Publication number: 20070166133
    Abstract: Embodiments of the invention include a chamber body having at least one of a top or bottom decoupled from the sidewalls of the chamber body. The invention is suitable for use as a load lock chamber, substrate transfer chamber and vacuum processing chambers, among others.
    Type: Application
    Filed: January 13, 2006
    Publication date: July 19, 2007
    Inventors: Jae-Chull Lee, Shinichi Kurita, John M. White, Suhail Anwar
  • Patent number: 7244335
    Abstract: A substrate processing system is provided with an ozone generator capable of generating an ozone-containing gas by discharging electricity in an oxygen-containing gas, and a plurality of processing chambers each capable of holding substrates therein to process the substrates by the ozone-containing gas supplied thereto. A flow regulator control an oxygen-containing gas supplied to the ozone generator. A controller controls the flow regulator to control the flow rate of the ozone-containing gas to be supplied to the processing chamber or chambers from the ozone generator through the control of the flow rate of the oxygen-containing gas supplied to the ozone generator.
    Type: Grant
    Filed: June 23, 2003
    Date of Patent: July 17, 2007
    Assignee: Tokyo Electron Limited
    Inventor: Yasuhiro Chono
  • Publication number: 20070144439
    Abstract: The present invention generally provides an apparatus and method for processing substrates using a multi-chamber processing system (e.g., a cluster tool) that is easily configurable, has an increased system throughput, increased system reliability, improved device yield performance, a more repeatable wafer processing history (or wafer history), and a reduced footprint. In one embodiment, the cluster tool is adapted to perform a track lithography process in which a substrate is coated with a photosensitive material, is then transferred to a stepper/scanner, which exposes the photosensitive material to some form of radiation to form a pattern in the photosensitive material, and then certain portions of the photosensitive material are removed in a developing process completed in the cluster tool.
    Type: Application
    Filed: September 8, 2006
    Publication date: June 28, 2007
    Inventors: Eric A. Englhardt, Michael R. Rice, Jeffrey C. Hudgens, Steve Hongkham, Jay D. Pinson, Mohsen Salek, Charles Carlson, William T. Weaver, Helen R. Armer
  • Patent number: 7232286
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: June 19, 2007
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 7223702
    Abstract: A method of manufacturing a semiconductor device includes first and second processes, the latter requiring more processing time. An apparatus for performing the semiconductor manufacturing process includes a first reactor, and a plurality of second reactors for each first reactor. A first group of wafers are subjected to the first process within the first reactor, and are then transferred into a second reactor as isolated from the outside air. The first group of wafers is subjected to the second process within the second reactor. At the same time, a second group of wafers are subjected to the first process within the first reactor. After the first process is completed, the second group of wafers is transferred into an unoccupied one of the second reactors as isolated from the outside air. There, the second group of wafers is subjected to the second process.
    Type: Grant
    Filed: January 14, 2005
    Date of Patent: May 29, 2007
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jae-Hyuck An
  • Patent number: 7214274
    Abstract: A dual chamber apparatus including a first chamber and a second chamber which is configured to be coupled to the first chamber at an interface. Each of the first chamber and the second chamber has a transfer opening located at the interface. An insulating plate is located on one of the first chamber and the second chamber at the interface and is configured to have a low thermal conductivity such that the first chamber and the second chamber can be independently controlled at different temperatures when the first chamber and the second chamber are coupled together. Additionally, the apparatus may include an alignment device and/or a fastening device for fastening the first chamber to the second chamber. In embodiments, the insulating plate may be constructed of Teflon. Further, the first chamber may be a chemical oxide removal treatment chamber and the second chamber may be a heat treatment chamber.
    Type: Grant
    Filed: November 12, 2003
    Date of Patent: May 8, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Jay Wallace, Thomas Hamelin
  • Patent number: 7208047
    Abstract: An apparatus through which a substrate may be transferred between a first chamber and a second chamber in which the first chamber is maintained at a high temperature relative to the ambient temperature of the second chamber. The apparatus comprises a passageway for receiving the substrate and a thermally isolating interface. The thermally isolating interface reduces heat transfer from the first chamber to the second chamber and allows for transfer of the substrate between the apparatus and the second chamber. The thermally isolating interface includes a hole having dimensions such that the substrate is transferrable through the thermally isolating interface.
    Type: Grant
    Filed: December 15, 2003
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Emanuel Beer, Kenneth E. Baumel
  • Patent number: 7208066
    Abstract: On top of respective areas divided by partition plates, that is, a cassette station, a processing station, and an interface section in a coating and developing processing system, gas supply sections for supplying an inert gas into the respective areas are provided. Exhaust pipes for exhausting atmospheres in the respective areas are provided at the bottom of the respective areas. The atmospheres in the respective areas are maintained in a clean condition by supplying the inert gas not containing impurities such as oxygen and fine particles from the respective gas supply sections into the respective areas and exhausting the atmospheres in the respective areas from the exhaust pipes.
    Type: Grant
    Filed: August 28, 2003
    Date of Patent: April 24, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Junichi Kitano, Yuji Matsuyama, Takahiro Kitano, Takayuki Katano, Hidefumi Matsui, Yo Suzuki, Masami Yamashita, Toru Aoyama, Hiroyuki Iwaki, Satoru Shimura, Masatoshi Deguchi, Kousuke Yoshihara, Naruaki Iida
  • Patent number: 7201823
    Abstract: A method for the plasma treatment of parts. The method includes sending loading signals from an electronic control to a transfer mechanism and loading the parts from a position outside of the treatment chamber to a plurality of treatment positions within the treatment chamber based on the loading signals. A plasma is generated within the treatment chamber to treat the parts. After treatment, unloading signals are sent from the electronic control to the transfer mechanism and the parts are unloaded from the treatment chamber based on the unloading signals. Each of the parts may be guided to a corresponding one of the treatment positions during loading.
    Type: Grant
    Filed: September 29, 2004
    Date of Patent: April 10, 2007
    Assignee: Nordson Corporation
    Inventors: Louis A. Rigali, David E. Hoffman, Keda Wang, William F. Smith, III
  • Patent number: 7192487
    Abstract: A semiconductor substrate processor includes a substrate transfer chamber and a plurality of substrate processing chambers connected therewith. An interfacial structure is received between at least one of the processing chambers and the transfer chamber. The interfacial structure includes a substantially non-metallic, thermally insulative mass of material interposed between the one processing chamber and the transfer chamber. The mass is of sufficient volume to effectively reduce heat transfer from the processing chamber to the transfer chamber than would otherwise occur in the absence of said mass of material. An interfacial structure includes a body having a substrate passageway extending therethrough. The passageway includes walls at least a portion of which are substantially metallic. The body includes material peripheral of the walls which is substantially non-metallic and thermally insulative. The substantially non-metallic material has mounting openings extending at least partially therein.
    Type: Grant
    Filed: October 28, 2003
    Date of Patent: March 20, 2007
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Ross S. Dando, Allen P. Mardian, Kevin T. Hamer, Raynald B. Cantin, Philip H. Campbell, Kimberly R. Tschepen, Randy W. Mercil
  • Patent number: 7179397
    Abstract: To move an article in and out of plasma during plasma processing, the article is rotated by a first drive around a first axis, and the first drive is itself rotated by a second drive. As a result, the article enters the plasma at different angles for different positions of the first axis. The plasma cross-section at the level at which the plasma contacts the article is asymmetric so that those points on the article that move at a greater linear velocity (due to being farther from the first axis) move longer distances through the plasma. As a result, the plasma processing time becomes more uniform for different points on the article surface. In some embodiments, two shuttles are provided for loading and unloading the plasma processing system. One of the shuttles stands empty waiting to unload the processed articles from the system, while the other shuttle holds unprocessed articles waiting to load them into the system.
    Type: Grant
    Filed: April 15, 2003
    Date of Patent: February 20, 2007
    Assignee: Tru-Si Technologies, Inc.
    Inventor: Oleg Siniaguine
  • Patent number: 7153367
    Abstract: The invention relates to a drive mechanism for a vacuum treatment apparatus by which substrate holders can be transported around an axis (A—A) from an entrance airlock to an exit airlock. A stationary supporting column (1) is disposed in the center and on it a rotatory drive chamber (6) is borne which has control rods (9) for a rotation and a radial displacement of the substrate holders. In the rotatory drive chamber (6), a motor (4) and rotatory displacement drives for the control rods (9) are arranged on the supporting column (1), the control rods being in active connection each with a corresponding substrate holder.
    Type: Grant
    Filed: July 23, 2004
    Date of Patent: December 26, 2006
    Assignee: Applied Materials GmbH & Co. KG
    Inventors: Ralph Lindenberg, Michael Konig, Uwe Schussler, Stefan Bangert
  • Patent number: 7128803
    Abstract: A system for processing a wafer is provided. The system includes a chemical mechanical planarization (CMP) tool. The CMP tool includes a wafer carrier defined within a housing. A carrier film is affixed to the bottom surface and supports a wafer. A sensor embedded in the wafer carrier. The sensor is configured to induce an eddy current in the wafer to determine a proximity and a thickness of the wafer. A cluster of sensors external to the CMP tool is included. The cluster of sensors is in communication with the sensor embedded in the wafer carrier and substantially eliminates a distance sensitivity. The cluster of sensors provides an initial thickness of the wafer to allow for a calibration to be performed on the sensor embedded in the wafer carrier. The calibration offsets variables causing inaccuracies in the determination of the thickness of the wafer during CMP operation. A method and an apparatus are also provided.
    Type: Grant
    Filed: June 28, 2002
    Date of Patent: October 31, 2006
    Assignee: Lam Research Corporation
    Inventors: Aleksander Owczarz, Yehiel Gotkis, Dave Hemker, Rodney Kistler
  • Patent number: 7105061
    Abstract: Methods and an apparatus for providing an intrinsically safe chamber door for a processing chamber capable of operating at high pressures are provided. One exemplary apparatus includes a processing chamber for a substrate where the chamber is configured to operate at a positive pressure. The processing chamber includes a port loading slot for providing access for the substrate into and out of the chamber. A chamber door positioned inside the chamber is included. The chamber door is configured to seal against an internal surface of the chamber thereby blocking access through the port loading slot. An internal pressure of the chamber assists in sealing the chamber door against the internal surface of the chamber. Also included is a door actuating mechanism configured to move the door along a door path where the door path is positioned at an angle to a path to be traversed by the substrate.
    Type: Grant
    Filed: November 7, 2001
    Date of Patent: September 12, 2006
    Assignee: Novellus Systems, Inc.
    Inventors: Krishnan Shrinivasan, Arkadiy Shimanovich, Vladimir Starov
  • Patent number: 7090741
    Abstract: A semiconductor processing system includes a common transfer chamber (34) having first and second compartments (46, 48) partitioned by a partition wall (44). First and second vacuum processing apparatuses (32E, 32A) are respectively connected to the first and second compartments (46, 48). A pressure control section (PCS) controls the pressures inside the first and second compartments (46, 48). The pressure control section (PCS) includes first and second vacuum pumps (68, 70) respectively connected to the first and second compartments (46, 48), and a line (76) connecting the delivery side of the second vacuum pump (70) to the suction side of the first vacuum pump (68). The pressure control section (PCS) performs a setting such that a second ultimate pressure or lowest operational pressure of the second compartment (48) is lower than a first ultimate pressure or lowest operational pressure of the first compartment (46).
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: August 15, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Narushima, Hiroaki Saeki
  • Patent number: 7077929
    Abstract: An apparatus for manufacturing a semiconductor includes a polyhedral transfer chamber, a first process module for forming a gate dielectric layer by ALD, and a second process module for thermally treating the gate dielectric layer. The first process module is in communication with a first side of the transfer chamber. The second process module in communication with a second side of the transfer chamber. The apparatus further includes at least one load-lock chamber in communication with a third side of the transfer chamber.
    Type: Grant
    Filed: April 28, 2004
    Date of Patent: July 18, 2006
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Young-Sub You, Jae-Woong Kim
  • Patent number: 7060422
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: January 15, 2003
    Date of Patent: June 13, 2006
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 7018517
    Abstract: A transfer chamber for a substrate processing tool includes a main body having side walls adapted to couple to at least one processing chamber and at least one load lock chamber. The main body houses at least a portion of a robot adapted to transport a substrate between the processing chamber and the load lock chamber. A lid couples to and seals a top of the main body of the transfer chamber. The transfer chamber also has a domed bottom adapted to couple to and to seal a bottom portion of the main body of the transfer chamber.
    Type: Grant
    Filed: June 20, 2003
    Date of Patent: March 28, 2006
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Emanuel Beer, Hung T. Nguyen, Wendell T. Blonigan
  • Patent number: 7018504
    Abstract: A wafer carrier adapted to hold a plurality of wafers and is positioned on an elevator plate in a load lock. The elevator plate is adapted to move between a first position with the carrier in a first chamber of the load lock and a second position with the carrier in the auxiliary chamber. In the second position, the elevator plate substantially seals the auxiliary chamber from the first chamber. In use, a first wafer is placed onto the wafer carrier. The wafer carrier can moved into the auxiliary chamber before or after the first wafer is placed onto the wafer carrier. The first wafer is auxiliary processed in the auxiliary chamber. A second wafer is placed onto the wafer carrier. Preferably after the second wafer is placed onto the wafer carrier, the first wafer is removed from the load lock. A third wafer is preferably then placed onto the wafer carrier so that the second wafer can cool. The second wafer is then removed from the load lock. The cycle is repeated.
    Type: Grant
    Filed: September 11, 2000
    Date of Patent: March 28, 2006
    Assignee: ASM America, Inc.
    Inventors: Ivo Raaijmakers, Ravinder Aggarwal, James Kusbel
  • Patent number: 6972055
    Abstract: An atomic layer deposition system is described that includes a deposition chamber. A first and second reaction chamber are positioned in the deposition chamber and contain a first and a second reactant species, respectively. A monolayer of the first reactant species is deposited on a substrate passing through the first reaction chamber. A monolayer of the second reactant species is deposited on a substrate passing through the second reaction chamber. A transport mechanism transports a substrate in a path through the first reaction chamber and through the second reaction chamber, thereby depositing a film on the substrate by atomic layer deposition. The shape of the first and the second reaction chambers are chosen to achieve a constant exposure of the substrate to reactant species when the transport mechanism transports the substrate in the path through the respective reaction chambers at the constant transport rate.
    Type: Grant
    Filed: July 25, 2003
    Date of Patent: December 6, 2005
    Assignee: Finens Corporation
    Inventor: Piero Sferlazzo
  • Patent number: 6962644
    Abstract: A method and apparatus for processing wafers including a chamber defining a plurality of isolated processing regions. The isolated processing regions have an upper end and a lower end. The chamber further includes a plurality of plasma generation devices each disposed adjacent the upper end of each isolated processing region, and one of a plurality of power supplies connected to each plasma generation device. The output frequency of the plurality of power supplies are phase and/or frequency locked together. Additionally, the chamber includes a plurality of gas distribution assemblies. Each gas distribution assembly is disposed within each isolated processing region. A movable wafer support is disposed within each isolated processing region to support a wafer for plasma processing thereon. The movable wafer support includes a bias electrode coupled to a bias power supply configured to control the bombardment of plasma ions toward the movable wafer support.
    Type: Grant
    Filed: September 10, 2002
    Date of Patent: November 8, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Alexander Paterson, Valentin N. Todorov, Jon McChesney, Gerhard M. Schneider, David Palagashvili, John P. Holland, Michael S. Barnes
  • Patent number: 6949144
    Abstract: There are provided a low pressure plasma processing apparatus and method by which a throughput can be improved, film contamination can be effectively prevented, and a film can be readily managed. A film substrate is carried in from the outside of a plasma processing apparatus main body to a substrate carrying position in the plasma processing apparatus main body, the film substrate positioned at the substrate carrying position is carried into a chamber, a reaction gas is introduced while the chamber is being evacuated, high frequency power is applied under low pressure to generate plasma so that plasma processing is performed to remove organic matter from the film substrate, and the film substrate subjected to plasma processing is taken out from the chamber and positioned at a substrate carrying-out position in the plasma processing apparatus main body and carried out of the plasma processing apparatus main body.
    Type: Grant
    Filed: October 16, 2002
    Date of Patent: September 27, 2005
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Tatsuo Sasaoka, Naoki Suzuki, Ken Kobayashi
  • Patent number: 6936134
    Abstract: A substrate processing apparatus comprises a heating process chamber in which a heating process is performed for a wafer, a load lock chamber, connected to the heating process chamber, for controlling at least oxygen concentration and pressure, a transferring arm transferring the wafer between the heating process chamber and the load lock chamber, and a gate valve shielding the heating process chamber from the load lock chamber. Thus, an insulation film with high quality can be formed. In addition, the wafer is temporarily placed in the load lock chamber adjacent to the heating process chamber without need to be transferred to another unit. Thus, the transferring time period for the wafer can be shortened. In addition, footprints can be decreased.
    Type: Grant
    Filed: November 13, 2001
    Date of Patent: August 30, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Akira Yonemizu, Shigeyoshi Kojima
  • Patent number: 6930050
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: November 19, 2002
    Date of Patent: August 16, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6926775
    Abstract: Reactors having gas distributors for depositing materials onto micro-device workpieces, systems that include such reactors, and methods for depositing materials onto micro-device workpieces are disclosed herein. In one embodiment, a reactor for depositing materials onto a micro-device workpiece includes a reaction chamber, a passageway, and a door assembly. The reaction chamber includes a gas distributor configured to provide a flow of gas(es) to a micro-device workpiece on a workpiece holder. The passageway, which has a first end open to the reaction chamber and a second end apart from the reaction chamber, is configured to provide ingression to and egression from the chamber for processing the micro-device workpiece. The door assembly is configured to open and sealably close a door at the second end of the passageway. A gas conditioning system positioned in the door is configured to maintain a desired concentration and phase of gas constituents in the passageway.
    Type: Grant
    Filed: February 11, 2003
    Date of Patent: August 9, 2005
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Ross S. Dando, Danny Dynka
  • Patent number: 6926798
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Grant
    Filed: March 6, 2003
    Date of Patent: August 9, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6919001
    Abstract: There is described a disk processing and manufacturing equipment in which the processing chambers are stacked on top of each other and in which the disks move through the system on disk carriers which are adjustable to take disks of varying sizes. The disks enter the system through a load zone and are then installed into disk carriers. They move in the carriers sequentially through processing chambers at one level and then move to the other level in a lift or elevator. At this other level, the disks again move sequentially through the system on that level and then exit at an unload zone.
    Type: Grant
    Filed: February 10, 2003
    Date of Patent: July 19, 2005
    Assignee: Intevac, Inc.
    Inventors: Kevin P. Fairbairn, Terry Bluck, Craig Marion, Robert E. Weiss
  • Patent number: 6916397
    Abstract: In a first aspect, a system includes (1) a chamber; (2) a variable speed vacuum pump coupled to the chamber; and (3) a pressure controller coupled to the chamber. The pressure controller compares a set point pressure with a pressure measurement for the chamber and adjusts a flow of gas through the pressure controller based on a difference between the pressure measurement and the set point pressure. The system includes a pressure measurement device coupled to the chamber and to the pressure controller, and a main controller coupled to the variable speed vacuum pump, the pressure controller and the pressure measurement device. The pressure measurement device measures a pressure within the chamber and provides a pressure measurement to the pressure controller and the main controller. The main controller (1) adjusts a speed of the variable speed vacuum pump; and (2) provides the set point pressure to the pressure controller.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: July 12, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Kenneth Pfeiffer, Gregory S. Verdict
  • Patent number: 6913652
    Abstract: A system for processing substrates includes a first process chamber configured to perform a given process on a first substrate. A second process chamber is configured to perform the same process as the first chamber on a second substrate. A gas source system is configured to output a process gas. A gas flow system includes a flow channel coupled to the gas source system and the first and second process chambers to provide the process gas into the first and second process chambers. The gas source system further includes a gas flow controller to regulate flow rates of the process gas provided into the first and second chambers in order to provide the first and second substrates with substantially uniform process results.
    Type: Grant
    Filed: June 17, 2002
    Date of Patent: July 5, 2005
    Assignee: Applied Materials, Inc.
    Inventor: Hongqing Shan
  • Patent number: 6911112
    Abstract: A method of manufacturing a semiconductor device includes first and second processes, the latter requiring more processing time. An apparatus for performing the semiconductor manufacturing process includes a first reactor, and a plurality of second reactors for each first reactor. A first group of wafers are subjected to the first process within the first reactor, and are then transferred into a second reactor as isolated from the outside air. The first group of wafers is subjected to the second process within the second reactor. At the same time, a second group of wafers are subjected to the first process within the first reactor. After the first process is completed, the second group of wafers is transferred into an unoccupied one of the second reactors as isolated from the outside air. There, the second group of wafers is subjected to the second process.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Jae-Hyuck An
  • Patent number: 6902624
    Abstract: A method and apparatus for the use of individual vertically stacked ALD or CVD reactors. Individual reactors are independently operable and maintainable. The gas inlet and output are vertically configured with respect to the reactor chamber for generally axi-symmetric process control. The chamber design is modular in which cover and base plates forming the reactor have improved flow design.
    Type: Grant
    Filed: October 29, 2002
    Date of Patent: June 7, 2005
    Assignee: Genus, Inc.
    Inventors: Thomas E. Seidel, Adrian Jansz, Jurek Puchacz, Ken Doering
  • Patent number: 6902623
    Abstract: A reactor for growing epitaxial layers includes reaction chamber having a passthrough opening for inserting and removing wafer carriers from the reaction chamber. A reactor also includes a cylindrical shutter located inside the reaction chamber for selectively closing the passthrough opening. The cylindrical shutter is movable between a first position for closing the passthrough opening and a second position for opening the passthrough opening. The cylindrical shutter includes an internal cavity adapted to receive a cooling fluid and tubing for introducing the cooling fluid into the internal cavity. The tubing is permanently secured to the shutter and moves simultaneously therewith. The cylindrical shutter and the internal cavity of the shutter surrounds an outer perimeter of the wafer carrier, thereby minimizing nonuniformity in the temperature and flow field characteristics of the reactant gases.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: June 7, 2005
    Assignee: Veeco Instruments Inc.
    Inventors: Alexander Gurary, Scott Elman, Keng Moy, Vadim Boguslavskiy
  • Patent number: 6899109
    Abstract: A system for processing a wafer includes a cleaning module configured to only clean the back side of the wafer so as to remove unwanted particles therefrom before performing subsequent processing tasks on the process side of the wafer. The system also includes a processing module configured to perform processing tasks on the process side of the wafer. The processing module includes a chuck for supporting the wafer during the processing task. The system further includes a transport module configured to remove the cleaned wafer from the cleaning module, move it to the processing module and place it on the chuck of the processing module without performing any intervening manipulations during the movement.
    Type: Grant
    Filed: March 16, 2004
    Date of Patent: May 31, 2005
    Assignee: Lam Research Corporation
    Inventor: Thomas D. Nguyen
  • Patent number: 6899765
    Abstract: A process chamber for processing or inspecting a substrate such as a semiconductor wafer and the like includes a internal chamber employing dynamic seals at the interface of relatively moving elements. In one embodiment, the internal chamber has a first element, such as a lid or cover, and a second element, such as the body of the chamber. The first element and the second element meet at the interface. The internal chamber may further include a substrate support, mounted inside the internal chamber, supporting a substrate. A first movement system may produce at least one type of relative movement between the first element and the second element. A second movement system may produce second relative movement between the second element and the substrate support. The resulting structure allows movement of the chamber, while maintaining pressure inside the chamber.
    Type: Grant
    Filed: March 29, 2002
    Date of Patent: May 31, 2005
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Igor Krivts, Eyal Kotik, Eitan Pinhasi, Hagay Cafri
  • Patent number: 6884298
    Abstract: A coating and developing treatment system for performing coating and developing treatment. A coating treatment unit is configured to form a resist film on a substrate. A developing treatment unit is configured to develop the substrate. A heating/cooling unit includes a heat plate configured to continuously heat and a cooling plate configured to continuously cool in one casing the substrate on which the resist film has been formed by the coating treatment unit. A gas nozzle is configured to supply a treatment gas to the resist film formed on the substrate to form a protective film on a surface of the resist film. The gas nozzle is disposed on a cooling plate side in the heating/cooling unit. The gas nozzle is configured to move to a position above the substrate on the cooling plate during cooling at the cooling plate, to supply the treatment gas.
    Type: Grant
    Filed: December 4, 2002
    Date of Patent: April 26, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Junichi Kitano, Yuji Matsuyama, Takahiro Kitano, Hidetami Yaegashi
  • Patent number: 6881269
    Abstract: The invention provides a system and method for plasma coating of an optical lens, particularly lenses made of silicone-containing polymer. A system of the invention comprises an entry chamber, a coating chamber downstream from the entry chamber, and an exit chamber downstream from the coating chamber. The coating chamber includes a pair of spaced apart electrodes disposed therein. A system of the invention is configured in a way so that a lens may enter, pass through and exit the system without requiring the coating chamber to be repeatedly pressurized and depressurized.
    Type: Grant
    Filed: July 23, 2001
    Date of Patent: April 19, 2005
    Assignee: Novartis AG
    Inventors: Yasuo Matsuzawa, Lynn Cook Winterton
  • Patent number: 6875306
    Abstract: A vacuum processing device includes at least one vacuum processing chamber for performing predetermined treatments to a wafer being transferred to a predetermined position within the chamber, an atmospheric transfer equipment for transferring a wafer in atmospheric air to a vacuum transfer equipment which is disposed within a vacuum transfer chamber connecting the atmospheric air and the vacuum processing chambers for transferring the wafer received from the atmospheric transfer equipment to the predetermined position within the vacuum processing chamber, and wafer position sensors disposed near the ingress path leading into the processing chamber for detecting the displacement of the wafer being transferred.
    Type: Grant
    Filed: August 15, 2002
    Date of Patent: April 5, 2005
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Patent number: 6860965
    Abstract: In one embodiment, a wafer processing system has a loading station, a process module, and a load lock directly adjacent to the process module. The load lock has a small volume and can include integrated heating/cooling units. The load lock also has a wafer transfer mechanism for placing a wafer directly to the process module. The wafer processing system does not employ a transfer chamber to transport wafers between the load lock and the process module. Instead, a wafer is directly transferred from the load lock to the process module using the wafer transfer mechanism. Not requiring a transfer chamber not only improves the throughput of the wafer processing system, but also lowers its complexity and component count as well. The throughput of the wafer processing system is also improved by using a small volume load lock with integrated cooling/heating units.
    Type: Grant
    Filed: June 21, 2001
    Date of Patent: March 1, 2005
    Assignee: Novellus Systems, Inc.
    Inventor: Craig Lyle Stevens
  • Patent number: 6852169
    Abstract: Plasma processing system and methods for stripping the buffer and, optionally, removing the cladding from an optical fiber. The plasma processing system includes a holder capable of holding one or more optical fibers such that a mid-span portion of each optical fiber is exposed to a plasma generated within a processing chamber of the system and the ends of each optical fiber are unaffected by the plasma treatment. Tapered transition zones are created between the plasma-treated portion of the optical fiber and the shielded ends. Treatment may be accomplished using a plasma containing atomic and molecular radicals and ions of fluorine and oxygen.
    Type: Grant
    Filed: May 14, 2002
    Date of Patent: February 8, 2005
    Assignee: Nordson Corporation
    Inventors: James P. Fazio, James D. Getty, Leslie D. Wood
  • Patent number: 6852194
    Abstract: Processing apparatus is disclosed, that comprises substrate container holding table that can hold substrate container that contains plurality of target substrates, first transferring chamber, disposed adjacent to the substrate container holding table, that maintains the interior at first pressure, first processing unit group, disposed around the first transferring chamber, that processes target substrate at the first pressure, first transferring mechanism, disposed in the first transferring chamber, that transfers target substrate, second transferring chamber, disposed adjacent to the first transferring chamber, that maintains the interior at second pressure, second processing unit group, disposed around the second transferring chamber, that processes target substrate at the second pressure, and second transferring mechanism, disposed in the second transferring chamber, wherein the first transferring mechanism and/or the second transferring mechanism has at least two transferring arms.
    Type: Grant
    Filed: May 20, 2002
    Date of Patent: February 8, 2005
    Assignee: Tokyo Electron Limited
    Inventors: Minoru Matsushita, Yasushi Kodashima, Toshikazu Kumai
  • Patent number: 6845733
    Abstract: The invention relates to the technical field of plasma treatment of planar elements such as plates, sheets and wafers in electronics and electrical engineering, and in essence is a device for treating wafers with a plasma jet. The device comprises the following elements mounted in a closed chamber: a drive that effects angular displacement of the holders, which are provided with a common rotary drive, a plasma jet generator, and, mounted outside the closed chamber a manipulator and storage devices for the wafers. The wafer to be treated is picked up by the manipulator from the storage device and placed in the holder which together with the wafer passes over the plasma jet generator used for the treatment. The cycle may be repeated a predetermined number of times.
    Type: Grant
    Filed: April 11, 1995
    Date of Patent: January 25, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Iskander M. Tokmulin, Igor P. Bagriy, Boris M. Balats, Alexei B. Virovets, Vyacheslav G. Shamshurin
  • Patent number: 6843883
    Abstract: A vacuum processing apparatus 50 is provided with a bypass line 52 for causing a vacuum transfer chamber 4 and a load-lock chamber 12 to communicate with each other, and a bypass opening and shutting valve 54 for opening and shutting the corresponding bypass line 52, wherein by opening the bypass opening and shutting valve 54, a pressure-reduced state at the vacuum transfer chamber 4 side can be shifted to the load-lock chamber 12 side, and the pressure reduction of the load-lock chamber 12 can be carried out in a short time.
    Type: Grant
    Filed: August 29, 2002
    Date of Patent: January 18, 2005
    Assignee: TDK Corporation
    Inventors: Masanori Kosuda, Yoshihisa Tamagawa, Hideki Ishizaki
  • Patent number: 6843882
    Abstract: A system for processing substrates comprises a plurality of process chambers. Each process chamber includes an inlet gas distribution member connected to an inlet gas line to distribute gas from the inlet gas line into the process chamber, and a gas outlet. The inlet gas distribution member has an inlet gas distribution member impedance to a gas flow through the inlet gas distribution member into the process chamber. The plurality of process chambers are substantially identical. A source gas delivery line is connected to the inlet gas lines of the plurality of process chambers to supply a gas flow to be divided into the inlet gas lines. A plurality of tunable upstream gas restrictors are each disposed in one of the inlet gas lines connected to the inlet gas distribution members of the process chambers and are configured to adjust a flow rate into the corresponding process chamber.
    Type: Grant
    Filed: October 2, 2002
    Date of Patent: January 18, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Karthik Janakiraman, Victor Wang, Vikash Banthia, Teresa Winson, Nitin Ingle
  • Patent number: 6841006
    Abstract: A substrate processing apparatus is disclosed. In one embodiment, the apparatus includes a first atmospheric deposition station and a second atmospheric deposition station. The second atmospheric deposition station comprises an atmospheric pressure vapor deposition chamber. A substrate handling system is adapted to transfer substrates between the first and the second atmospheric deposition stations.
    Type: Grant
    Filed: August 23, 2001
    Date of Patent: January 11, 2005
    Assignee: Applied Materials, Inc.
    Inventors: Michael Barnes, Michael S. Cox, Canfeng Lai, John Parks
  • Patent number: 6830651
    Abstract: A load port which can selectively receive plural types of cassette having substrate which are to be processed accommodated therein is disclosed. The load port has the following constituents. That is, the load port includes a main body, an opening portion (which has a configuration that opens in the three surfaces) formed in one side surface of the main body, a placement table formed in the opening portion and used to place the cassette thereon, a first sensor mechanism (which identifies the type of the cassette) provided on the placement table, plural types of clamp mechanism (each type of the clamp mechanism clamping the corresponding type of cassette) provided on the placement table, and an up-down-type cover mechanism (which is vertically moved) which covers the opening portion of the main body.
    Type: Grant
    Filed: September 30, 2002
    Date of Patent: December 14, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tadashi Obikane
  • Patent number: 6827788
    Abstract: A substrate 9 is carried by a carry system in sequence, via a direction-altering chamber 8 to which a plurality of vacuum chambers comprising processing chambers 21 to 24 are hermetically-connected in the perimeter, to the plurality of processing chambers 21 to 24. The carry system carries the substrate 9 horizontally by moving, using a horizontal moving mechanism, a substrate holder 92 which holds two substrate 9 upright in such a way that the plate surface thereof forms a holding angle to the horizontal of between 45° or more and 90° or less. The direction-altering chamber 8 includes a direction altering mechanism 80 that alters the direction of movement by the horizontal movement mechanism. The direction altering mechanism 80 alters the direction of movement by rotating the substrate holder 92 and the horizontal movement mechanism about the vertical rotating axis coincident with the center axis of the direction-altering chamber 8.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: December 7, 2004
    Assignee: Anelva Corporation
    Inventor: Nobuyuki Takahashi
  • Patent number: 6827815
    Abstract: A showerhead assembly for distributing gases within a processing chamber is provided. In one embodiment, the showerhead assembly includes a cylindrical member having a faceplate coupled thereto. The cylindrical member has an outwardly extending first flange at a first end. The faceplate is coupled to a second end of the cylindrical member and has a plurality of holes formed though a center region of the faceplate. The joint between the cylindrical member and the faceplate allow for relative movement when subjected to thermal stresses. In another embodiment, at least one clamp member retains the faceplate to the second end of the cylindrical member.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: December 7, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Mark M. Hytros, Truc T. Tran, Hongbee Teoh, Lawrence Chung-Lai Lei, Avgerinos Gelatos, Salvador P. Umotoy