With Robot Arm Connected By Doors To Plural Other Chambers (i.e., Cluster Tool) Patents (Class 156/345.32)
  • Patent number: 6824617
    Abstract: An input/output valve switching apparatus of a semiconductor manufacturing system minimizes a vibration set up while operating an input/output valve for opening and closing a wafer-transfer passage that connects chambers of the system. The switching apparatus includes a valve actuator having a close port and an open port, a first fluid line connected to the close port, a second fluid line connected to the open port, first flow regulators installed in the first and second fluid lines, respectively, to regulate the flow rate of fluid, and second fluid flow regulators installed in the first and second fluid lines to regulate the flow rate of the fluid that has passed. The second fluid flow regulators can prevent a rapid introduction of the fluid into the actuator.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: November 30, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yun-Sik Yang, Jin-Man Kim, Young-Min Min, Chang-Hyun Jo
  • Patent number: 6818108
    Abstract: A vacuum chamber for transporting at least one workpiece has two or more openings defining respective opening areas for treating or handling the at least one workpiece. A transport device is arranged relative to the openings and includes a drive shaft rotatable around a drive shaft rotational axis. Two or more conveyors transport at least one workpiece. A linear driver is operationally independent to linearly move respective ones of the two or more conveyors relative to the drive shaft, with a drive component in a radial direction relative to the axis. An obstructing member is provided for closing the openings when one of the conveyors is positioned adjacent to the openings by rotating the transport device and is moved by the linear drive towards the opening.
    Type: Grant
    Filed: July 17, 2001
    Date of Patent: November 16, 2004
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventor: Roman Schertler
  • Patent number: 6811471
    Abstract: In a slurry solution for chemical mechanical planarization (CMP) of a semiconductor wafer, an abrasive particle is provided having a central body and a plurality of extensions from the central body of the abrasive particle. The plurality of extension hold a center of mass of the abrasive particle a distance from the semiconductor wafer during the CMP process. The extensions extend 3-dimensionally from the central body, with the length of one extension being about 30 nm. The extensions reduce attractive forces acting on the central body allowing the abrasive particles to be removed from the semiconductor wafer during a post-CMP cleaning process.
    Type: Grant
    Filed: June 5, 2003
    Date of Patent: November 2, 2004
    Assignee: Arizona Board of Regents
    Inventor: Stephen Beaudoin
  • Patent number: 6808592
    Abstract: A system for the plasma treatment of parts. The system includes a chamber base sealingly engageable with a reaction chamber to form a treatment chamber and a lifting device operable to lift the reaction chamber from the chamber base. A transfer mechanism is operable to transfer parts along a guide to multiple treatment positions within the treatment chamber when the reaction chamber is disengaged from the chamber base. An electronic control system controls the transfer mechanism for transferring the plurality of parts to the treatment positions. The parts are treated with a plasma produced within the treatment chamber by a plasma-generating device.
    Type: Grant
    Filed: April 9, 2001
    Date of Patent: October 26, 2004
    Assignee: Nordson Corporation
    Inventors: Louis A. Rigali, David E. Hoffman, Keda Wang, William F. Smith, III
  • Patent number: 6805748
    Abstract: A substrate processing system includes a process chamber having a process station for processing a substrate in an ambience different from an atmosphere, a plurality of load-lock chambers each being connected to the process chamber through an opening/closing device and connected to the atmosphere through an opening/closing device, a first conveying device for conveying the substrate between the process chamber and the load-lock chambers, and a second conveying device for conveying the substrate between a supply station in the atmosphere and the load-lock chambers. Each of the load-lock chambers is arranged so that, prior to replacement of an ambience inside the load-lock chamber, the substrate is conveyed by the first conveying device from the load-lock chamber into the process chamber and then the substrate is conveyed into the load-lock chamber.
    Type: Grant
    Filed: October 19, 2000
    Date of Patent: October 19, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Ryo Edo
  • Patent number: 6802934
    Abstract: Two load lock chambers 130 and 132 are arranged between a first transfer chamber 122 and a second transfer chamber 133. Each of the load lock chambers is capable of accommodating a single wafer W. The first transfer chamber 122 is provided with a first transfer unit 124 having two substrate holders 124a, 124b each capable of holding a single object to be processed, in order to transport the wafer W among a load port site 120, the first load lock chamber 130, the second load lock chamber 132 and a positioning unit 150. The second transfer chamber 133 is provided with a second transfer unit 156 having two substrate holders 156a, 156b each capable of holding the single object to be processed, in order to transport the wafer between the first load lock chamber 130, the second load lock chamber 132 and respective vacuum processing chambers 158 to 164. Since the volume of each load lock chamber can be minimized, it is possible to perform the prompt control of atmospheres in the load lock chambers.
    Type: Grant
    Filed: March 5, 2003
    Date of Patent: October 12, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Keiichi Matsushima, Teruo Asakawa, Masaki Narushima
  • Patent number: 6802935
    Abstract: A semiconductor processing apparatus and method are disclosed herein, including a plurality of process chambers, wherein at least one semiconductor processing operation occurs within each process chamber among the plurality of process chambers. Additionally, the apparatus and method disclosed herein include a robot mechanism for rotating each process chamber among the plurality of process chambers upon completion of an associated semiconductor processing operation. Such a robot mechanism may comprise a plurality of robots. Specifically, such a plurality of robots may include six robots configured on an associated carousel.
    Type: Grant
    Filed: March 21, 2002
    Date of Patent: October 12, 2004
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd
    Inventors: Yi-Lung Cheng, Hui-Chi Lin, Szu-An Wu, Ying-Lang Wang
  • Patent number: 6800172
    Abstract: A semiconductor substrate processor includes a substrate transfer chamber and a plurality of substrate processing chambers connected therewith. An interfacial structure is received between at least one of the processing chambers and the transfer chamber. The interfacial structure includes a substantially non-metallic, thermally insulative mass of material interposed between the one processing chamber and the transfer chamber. The mass is of sufficient volume to effectively reduce heat transfer from the processing chamber to the transfer chamber than would otherwise occur in the absence of said mass of material. An interfacial structure includes a body having a substrate passageway extending therethrough. The passageway includes walls at least a portion of which are substantially metallic. The body includes material peripheral of the walls which is substantially non-metallic and thermally insulative. The substantially non-metallic material has mounting openings extending at least partially therein.
    Type: Grant
    Filed: February 22, 2002
    Date of Patent: October 5, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Craig M. Carpenter, Ross S. Dando, Allen P. Mardian, Kevin T. Hamer, Raynald B. Cantin, Philip H. Campbell, Kimberly R. Tschepen, Randy W. Mercil
  • Patent number: 6793766
    Abstract: Apparatus for processing multiple semiconductor wafers, includes a transfer chamber, a first processing chamber mounted in fixed relation to the transfer chamber and having a first wafer-holding platform with a center, a second processing chamber mounted in adjustable relation to the transfer chamber and to the first chamber and having a second wafer-holding platform with a center, and a robot rotatably mounted within the transfer chamber and having first and second wafer-holding arms spaced parallel to each other for inserting a pair of wafers simultaneously into the first and second chambers and for placing the wafers accurately centered over the respective platforms. The spacing of the platform centers is adjusted relative to the spacing of the robot arms such that the wafers are centered and placed with a preselected degree of accuracy onto the respective platforms for efficient processing of the wafers.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: September 21, 2004
    Assignee: Applied Materials Inc.
    Inventors: Eric W. Schieve, Lawrence Chung-Lai Lei
  • Publication number: 20040177926
    Abstract: A substrate processing apparatus includes a housing, a process tube for performing variable batch processes on substrates, and product substrate carriers. The product substrate carriers have a capacity of a predetermined number of substrates. A number of the product substrates processed during one batch process are less than or equal to the predetermined number of the substrates. All of the product substrates contained in one product substrate carrier is processed in the process tube at a same time.
    Type: Application
    Filed: October 1, 2003
    Publication date: September 16, 2004
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuhisa Matsunaga, Masahiro Teramoto, Norio Akutsu, Kouichi Noto
  • Patent number: 6790287
    Abstract: An inspection unit is provided in a substrate processing apparatus performing resist coating processing and development processing on a substrate. In the inspection unit, a film thickness measuring device, a line width measuring device, an overlay measuring device and a macro defect inspection device are successively stacked and arranged from below. The inspection unit is provided on an intermediate portion of a substrate transport path formed in the substrate processing apparatus. The substrate processed in the substrate processing apparatus is selectively introduced into each inspection part. Therefore, the apparatus can properly inspect the substrate at need while suppressing reduction of the throughput. Thus provided are a substrate processing apparatus and a substrate inspection method capable of properly inspecting a substrate while suppressing reduction of the throughput.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: September 14, 2004
    Assignee: Dainippon Screen Mfg. Co . Ltd.
    Inventors: Masayoshi Shiga, Kenji Hashinoki, Masami Ohtani, Joichi Nishimura
  • Patent number: 6790286
    Abstract: Substrate processing parts are stacked and arranged in a multistage manner around a transport robot arranged at the center of a processing area. Rotary application units are arranged on a second layer through an indexer and the transport robot. Rotary developing units are stacked above the rotary application units respectively on a fourth layer located above the second layer. Multistage thermal processing units and an edge exposure unit are horizontally arranged in line above the indexer. In place of the processing units, inspection units performing a macro defect inspection and pattern line width measurement may be arranged in the upside region of the indexer space.
    Type: Grant
    Filed: January 15, 2002
    Date of Patent: September 14, 2004
    Assignee: Dainippon Screen Mfg. Co. Ltd.
    Inventors: Joichi Nishimura, Masami Ohtani, Kenji Hashinoki, Masayoshi Shiga, Koji Hashimoto
  • Patent number: 6780250
    Abstract: An integrated oxide removal and processing system (10) includes a process module (30) that may intentionally add at least one film layer to a single semiconductor wafer (32). The integrated oxide removal and processing system (10) also includes a transfer chamber module (20) used to align the semiconductor wafer (32) for the process module (30). The transfer chamber module (20) may expose the semiconductor wafer (32) to a vaporous solution that is inert with respect to the semiconductor wafer (32) and operable to remove an oxide layer (110) therefrom. More specifically, the semiconductor wafer (32) includes silicon. In a further embodiment, the vaporous solution includes HF. In yet a further embodiment, the vaporous solution includes 0.049% to 49% HF.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: August 24, 2004
    Assignee: Texas Instruments Incorporated
    Inventor: Sylvia H. Pas
  • Patent number: 6776846
    Abstract: An integrated wafer processing system having a wafer queuing station and a plurality of plasma reactors connected to peripheral walls of a central vacuum chamber. Vacuum valves separate the central chamber from the queuing station and the plasma reactors. A wafer transfer arm capable of R-&THgr; motion can transfer wafers between the queuing station and any of the plasma reactors in either a single-step or a multi-step process.
    Type: Grant
    Filed: April 25, 2002
    Date of Patent: August 17, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Joseph A. Maher, E. John Vowles, Joseph D. Napoli, Arthur W. Zafiropoulo, Mark W. Miller
  • Publication number: 20040144401
    Abstract: A cleanling apparatus for removing contaminants from the surface of a substrate includes two parts: one which produces an aerosol including frozen particles and directs the aerosol onto the surface of the substrate to remove contaminants from the surface by physical force, and another part in which a fluid including a gaseous reactant is directed onto the surface of the substrate while the surface is irradiated to cause a chemical reaction between the reactant and organic contaminants on the surface, to chemically removing the organic contaminants. In the method of cleaning the substrate, the physical and chemical cleaning processes are carried out in a separate manner from one another so that the frozen particles of the aerosol are not exposed to the effects of the light used in irradiating the surface of the substrate. Therefore, the effectiveness of the aerosol in cleaning the substrate is maximized.
    Type: Application
    Filed: January 20, 2004
    Publication date: July 29, 2004
    Inventors: Moon-hee Lee, Kun-tack Lee, Woo-gwan Shim, Jong-ho Chung
  • Patent number: 6752900
    Abstract: An improved vacuum plasma etching device for plasma etching semiconductor wafers that have a photo-resist pattern. The improved plasma etching device has a reaction chamber in which the plasma etching is performed during a process cycle, an entrance vacuum loadlock for holding the next semiconductor wafer to be plasma etched, an exit vacuum loadlock for transporting the semiconductor wafers out of the reaction chamber after the plasma etching process, and a source of ultraviolet light. Exposing the semiconductor wafer to the ultraviolet light cures the photo-resist patterns, thereby improving CD dispersion, enhancing pattern transfer, and preventing photo-resist reticulation. Curing the photo-resist patterns while the semiconductor wafer is being held during the process cycle in the entrance vacuum loadlock, increases efficiency and productivity.
    Type: Grant
    Filed: October 2, 2001
    Date of Patent: June 22, 2004
    Assignee: STMicroelectronics, Inc.
    Inventor: Mark R. Tesauro
  • Patent number: 6743329
    Abstract: In a multi-chamber load-locking device which is placed between a loading station which places a wafer cassette which houses semiconductor wafers and a transfer chamber which conveys the semiconductor wafers and in which lock-loading device chamber space is divided into two by the vertical motion of a plate, a device which comprises: sealing means by which the chamber space is selectively divided into two by contacting the plate and a state of no airflow is caused; a cylindrical cam provided with the same axis as that of the chamber; and a rotary actuator dynamically connected with the cylindrical cam, wherein the turning moment of the rotary actuator is converted into the vertical thrust of the axis and the plate rises and descends.
    Type: Grant
    Filed: August 29, 2000
    Date of Patent: June 1, 2004
    Assignee: ASM Japan K.K.
    Inventors: Mitsusuke Kyogoku, Takayuki Yamagishi
  • Patent number: 6740195
    Abstract: A sensor, such as a mass spectrometer, capable of detecting the presence of materials in a sampled gas is interconnected with a processing chamber of a vacuum manufacturing tool. The sensor includes a timing circuit which is activated only if certain levels of specific materials are detected. Furthermore, the timer is set to run a predetermined time interval after activation so as to discriminate between known transient processing conditions and the presence of impurities which can greatly influence the manufacturing process. When the timer exceeds the predetermined time duration, an output signal can alert the process operator or automatically shutdown the manufacturing tool.
    Type: Grant
    Filed: August 30, 2002
    Date of Patent: May 25, 2004
    Assignee: Leybold Inficon, Inc.
    Inventors: Louis C. Frees, Valentin Rio
  • Patent number: 6736929
    Abstract: A semiconductor workpiece processing tool includes process modules having a robot loading window and a manual loading window, and a control system for managing a production route defining movement of the workpiece among a number of the process modules. The control system includes a user interface through which an operator can define the production route and recipes to be performed on the workpiece in each of the process modules, a system controller for controlling execution of the production route, a process module controller associated with each of the process modules for controlling the processing of the workpiece in the process module, and a network connecting the control system components. Advantages of the invention include the ability to continue a production route while manually operating a process module. As a result, the tool may continue in operation while selected process module are tested, maintained or otherwise used to process workpieces.
    Type: Grant
    Filed: July 19, 2002
    Date of Patent: May 18, 2004
    Assignee: NuTool, Inc.
    Inventors: Srinivasan M. Komandur, Jalal Ashjaee
  • Publication number: 20040089421
    Abstract: A semiconductor workpiece processing tool comprises a plurality of process modules for processing the workpiece, where a number of the process modules include a robot loading window. A control system is included for managing operation of the processing tool including a production route defining movement of the workpiece among a number of the process modules. The control system includes a user interface through which an operator can define the production route and recipes to be performed on the workpiece in each of the process modules, a system controller for controlling execution of the production route, a process module controller associated with each of the process modules for controlling the processing of the workpiece in the process module, and a network connecting the user interface, system controller and each process module controller.
    Type: Application
    Filed: October 31, 2003
    Publication date: May 13, 2004
    Inventors: Srinivasan M. Komandur, Jalal Ashjaee
  • Publication number: 20040084148
    Abstract: There are provided a low pressure plasma processing apparatus and method by which a throughput can be improved, film contamination can be effectively prevented, and a film can be readily managed. A film substrate is carried in from the outside of a plasma processing apparatus main body to a substrate carrying position in the plasma processing apparatus main body, the film substrate positioned at the substrate carrying position is carried into a chamber, a reaction gas is introduced while the chamber is being evacuated, high frequency power is applied under low pressure to generate plasma so that plasma processing is performed to remove organic matter from the film substrate, and the film substrate subjected to plasma processing is taken out from the chamber and positioned at a substrate carrying-out position in the plasma processing apparatus main body and carried out of the plasma processing apparatus main body.
    Type: Application
    Filed: October 16, 2002
    Publication date: May 6, 2004
    Inventors: Tatsuo Sasaoka, Naoki Suzuki, Ken Kobayashi
  • Publication number: 20040087154
    Abstract: Provided herein is a system architecture of semiconductor manufacturing equipment, wherein degas chamber(s) are integrated to the conventional pass-through chamber location. Also provided herein is a system/method for depositing Cu barrier and seed layers on a semiconductor wafer. This system comprises a front opening unified pod(s), a single wafer loadlock chamber(s), a degas chamber(s), a preclean chamber(s), a Ta or TaN process chamber(s), and a Cu process chamber(s). The degas chamber is integrated to a pass-through chamber. Such system may achieve system throughput higher than 100 wafers per hour.
    Type: Application
    Filed: June 23, 2003
    Publication date: May 6, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Ratson Morad, Ho Seon Shin
  • Patent number: 6726776
    Abstract: The present invention relates generally to an improved process for providing uniform step coverage on a substrate and planarization of metal layers to form continuous, void-free contacts or vias in sub-half micron applications. In one aspect of the invention, a refractory layer is deposited onto a substrate having high aspect ratio contacts or vias formed thereon. A CVD metal layer is then deposited onto the refractory layer at low temperatures to provide a conformal wetting layer for a PVD metal. Next, a PVD metal is deposited onto the previously formed CVD metal layer at a temperature below that of the melting point temperature of the metal. The resulting CVD/PVD metal layer is substantially void-free.
    Type: Grant
    Filed: August 9, 1999
    Date of Patent: April 27, 2004
    Assignee: Applied Materials, Inc.
    Inventors: Roderick Craig Mosely, Hong Zhang, Fusen Chen, Ted Guo
  • Publication number: 20040074603
    Abstract: The vacuum processing device comprises vacuum processing chambers 30a and 30b for performing predetermined treatments to a wafer being transferred to a predetermined position within the chamber, an atmospheric transfer equipment 7 for transferring a wafer in atmospheric air to a vacuum transfer equipment 10, a vacuum transfer equipment 10 disposed with in a vacuum transfer chamber 2 connecting the atmospheric air and said vacuum processing chambers for transferring the wafer received from said atmospheric transfer equipment to said predetermined position within said vacuum processing chamber, and wafer position sensors 11a, 11b, 11c and 11d disposed near the ingress path leading into said processing chambers for detecting the displacement of said wafers being transferred.
    Type: Application
    Filed: September 10, 2003
    Publication date: April 22, 2004
    Inventor: Naoyuki Tamura
  • Publication number: 20040069410
    Abstract: One embodiment of the present invention is a cluster tool for processing wafers that includes: (a) one or more chemical vapor deposition chambers; (b) one or more e-beam treatment chambers; and (c) a transfer chamber adapted to transfer a wafer from one chamber to another while maintaining vacuum conditions.
    Type: Application
    Filed: September 3, 2003
    Publication date: April 15, 2004
    Inventors: Farhad Moghadam, Jun Zhao, Timothy Weidman, Rick J. Roberts, Li-Qun Xia, Alexandros T. Demos
  • Publication number: 20040055537
    Abstract: A transfer chamber for a substrate processing tool includes a main body having side walls adapted to couple to at least one processing chamber and at least one load lock chamber. The main body houses at least a portion of a robot adapted to transport a substrate between the processing chamber and the load lock chamber. A lid couples to and seals a top of the main body of the transfer chamber. The transfer chamber also has a domed bottom adapted to couple to and to seal a bottom portion of the main body of the transfer chamber.
    Type: Application
    Filed: June 20, 2003
    Publication date: March 25, 2004
    Inventors: Shinichi Kurita, Emanuel Beer, Hung T. Nguyen, Wendell T. Blonigan
  • Patent number: 6709522
    Abstract: A plasma treatment system wherein workpieces are carried in slots in a magazine. The plasma treatment system has first and second plasma treatment chambers mounted on a common base a base. A queuing station is located on the base for receiving the magazines. A magazine handler moves a magazine to a location adjacent one of the first and second plasma treatment chambers. A workpiece handler transfers a workpiece between the magazine and the one of the first and second plasma treatment chambers. The workpiece handler has a workpiece translator with a first surface that contacts a first edge of the workpiece for pushing the workpiece into the plasma treatment chamber. In addition, the workpiece translator has a second surface that contacts an opposite edge of the workpiece for pushing the workpiece out of the plasma treatment chamber.
    Type: Grant
    Filed: October 31, 2000
    Date of Patent: March 23, 2004
    Assignee: Nordson Corporation
    Inventors: Robert Sergel Condrashoff, James Patrick Fazio, David Eugene Hoffman, James Scott Tyler
  • Patent number: 6709521
    Abstract: An LCD substrate transfer apparatus includes an articulated arm unit attached to a support base, to be rotatable and stretchable/retractable within a horizontal plane. The articulated arm unit has a distal end arm, which reciprocates in a transfer direction upon stretching/retracting operation of the articulated arm unit. A support member is arranged on the distal end arm to support an LCD substrate. The support member is attached to the distal end arm to be reciprocatable in the transfer direction. A pair of temporary shelves for supporting the LCD substrate are disposed to sandwich the support member when the articulated arm unit and support member retract. The LCD substrate is placed on the temporary shelves, and only the articulated arm unit is rotated to switch the transfer directions.
    Type: Grant
    Filed: September 5, 2000
    Date of Patent: March 23, 2004
    Assignee: Tokyo Electron Limited
    Inventor: Tsutomu Hiroki
  • Publication number: 20040040504
    Abstract: The present invention provides an evaporation apparatus, which is one type of film formation apparatus and provides superior uniformity in EL layer film thickness, superior throughput, and improved utilization efficiency of EL materials and an evaporation method. The present invention is characterized in that an evaporation source holder, in which a container that encloses an evaporation material is disposed, is moved at a certain pitch with respect to a substrate during evaporation. Further, a film thickness monitor is integrated with the evaporation source holder for the movement. Furthermore, film thickness can be made uniform by adjusting the moving speed of the evaporation source holder in accordance with values measured by the film thickness monitor.
    Type: Application
    Filed: July 14, 2003
    Publication date: March 4, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masakazu Murakami
  • Publication number: 20040040659
    Abstract: An apparatus for processing substrates comprises a substrate handling chamber, including a substrate handling robot for transferring substrates from cassettes into a substrate carrier. A processing chamber is provided adjacent to the handling chamber, including one or more furnaces adapted to process a plurality of the substrates supported in the carrier. A weighing device is accessible to the substrate handler. The weighing device is adapted to weigh the substrates before and after processing the substrates in the processing chamber. The illustrated process is a curing anneal for a low k polymer previously deposited on the substrates.
    Type: Application
    Filed: August 29, 2002
    Publication date: March 4, 2004
    Inventor: Albert Hasper
  • Patent number: 6698944
    Abstract: The exposure apparatus and the substrate processing unit is connected via an in-line I/F portion. In addition, information on the substrate carriage is communicated in between the control unit of the exposure apparatus side and the control unit of the substrate processing unit, and both units decide their next operation that contribute to improving the processing capacity related to the wafer carriage, before actually starting the operation. Therefore, the throughput of the series of wafer processing performed by the substrate processing unit and the exposure apparatus can be improved, and as a consequence, becomes possible to improve the productivity of the device. In this case, for example, information on the predicted time or the expected time when the substrate can be received or sent out is reciprocally communicated between both units.
    Type: Grant
    Filed: May 23, 2003
    Date of Patent: March 2, 2004
    Assignee: Nikon Corporation
    Inventor: Hiroyasu Fujita
  • Publication number: 20040035360
    Abstract: A manufacturing apparatus is provided, which can improve a utilization efficiency of an evaporation material, reduce manufacturing costs of a light emitting device having an organic light emitting element, and shorten manufacturing time necessary to manufacture a light emitting device. According to the present invention, a multi-chamber manufacturing apparatus having plural film forming chambers includes a first film forming chamber for subjecting a first substrate to evaporation and a second film forming chamber for subjecting a second substrate to evaporation. In each film forming chamber, plural organic compound layers are laminated, thereby improving the throughput. Further, it is possible that the respective substrates in the plural film forming chambers are subjected to evaporation in the same manner in parallel, while another film forming chamber undergoes cleaning.
    Type: Application
    Filed: May 15, 2003
    Publication date: February 26, 2004
    Applicant: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Masakazu Murakami
  • Publication number: 20040020600
    Abstract: Semiconductor device manufacturing equipment having multiple chambers, including at least one process chamber, provides multiple seals between the chambers so that a semiconductor manufacturing process can continue even when a leak occurs at one of the seals. In addition to the process chamber(s), the semiconductor manufacturing equipment includes a load-lock chamber, a transfer chamber having a robot by which a wafer in the load-lock chamber is transferred to a process chamber, a respective gate by which the chambers of each adjacent pair are connected, and a gate valve disposed in each gate. The gate defines a plurality of doorways leading into the adjoining chambers. The gate valve has a plurality of doors that can be concurrently positioned over the doorways, respectively, to establish a plurality of seals between one chamber and the chamber connected thereto by the gate.
    Type: Application
    Filed: June 23, 2003
    Publication date: February 5, 2004
    Inventor: Sang-Hag Lee
  • Publication number: 20040020601
    Abstract: A series of modular apparatuses for processing substrates using a unique combinations of a substrate coating subsystem, a substrate curing subsystem and a PECVD-based capping subsystem. The individual subsystems are capable of being combined with one another for creating unique integrated substrate processing apparatuses that enable combined processing by the coating, curing and capping subsystems in an integrated and controlled environment, thus enabling the processing of substrates in an efficient manner, while minimizing the exposure of the substrates to an external environment and minimizing the condensation of vapors while the substrate is processed by the cure and capping subsystems.
    Type: Application
    Filed: July 29, 2003
    Publication date: February 5, 2004
    Applicant: Applied Materials, Inc.
    Inventors: Jun Zhao, Farhad Moghadam, Tim Weidman, Rick J. Roberts, Hari Ponnekanti, Chau T. Nguyen, Satish Sundar, David H. Quach, Sasson Somekh
  • Patent number: 6685779
    Abstract: According to one aspect of the invention, a method of processing a wafer is provided. The wafer is located in a wafer processing chamber of a system for processing a wafer. A silicon layer is then formed on the wafer while the wafer is located in the wafer processing chamber. The wafer is then transferred from the wafer processing chamber to a loadlock chamber of the system. Communication between the processing chamber and the loadlock chamber is closed off. The wafer is then exposed to ozone gas while located in the loadlock chamber, whereafter the wafer is removed from the loadlock chamber out of the system.
    Type: Grant
    Filed: February 11, 2002
    Date of Patent: February 3, 2004
    Assignee: Applied Materials, Inc.
    Inventors: David K Carlson, Paul B. Comita, Norma B. Riley, Dale R. Du Bois
  • Patent number: 6682629
    Abstract: The present invention is a processing unit for processing a substrate in a casing, having: a transfer port provided in the casing through which the substrate passes when the substrate is carried into the casing by a carrier for carrying the substrate; and an inflow restricting device for controlling an atmosphere outside the casing to restrict the atmosphere from flowing into the casing through the transfer port. According to the present invention, it is possible to control the atmosphere outside the casing to restrict the atmosphere from flowing into the casing, which restricts the temperature of the substrate in the processing unit from partially varying and the temperature distribution from becoming ununiform within a plane of the substrate.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: January 27, 2004
    Assignee: Tokyo Electron Limited
    Inventors: Hiroyuki Kudo, Takahiro Okubo, Minoru Kubota
  • Patent number: 6673255
    Abstract: An apparatus for plasma treating workpieces in vacuum comprises a stack of plasma chambers (20). Handling of workpieces to and from the plasma chambers of the stack is performed in parallelism by one handling device and through lateral handling openings of the plasma chambers. The handling device is rotatable around an axis parallel to the handling openings of the plasma chambers and comprises transport means simultaneously movable radially with respect to the axis of rotation towards and from the handling openings.
    Type: Grant
    Filed: August 10, 2001
    Date of Patent: January 6, 2004
    Assignee: Unaxis Balzers Aktiengesellschaft
    Inventors: Alexander Marxer, Andreas Meyerhans, Fredy Zuend
  • Publication number: 20040002224
    Abstract: A substrate processing system is provided with an ozone generator capable of generating an ozone-containing gas by discharging electricity in an oxygen-containing gas, and a plurality of processing chambers each capable of holding substrates therein to process the substrates by the ozone-containing gas supplied thereto. A flow regulator control an oxygen-containing gas supplied to the ozone generator. A controller controls the flow regulator to control the flow rate of the ozone-containing gas to be supplied to the processing chamber or chambers from the ozone generator through the control of the flow rate of the oxygen-containing gas supplied to the ozone generator.
    Type: Application
    Filed: June 23, 2003
    Publication date: January 1, 2004
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Yasuhiro Chono, Norihiro Ito
  • Publication number: 20030230239
    Abstract: A system for processing substrates includes a first process chamber configured to perform a given process on a first substrate. A second process chamber is configured to perform the same process as the first chamber on a second substrate. A gas source system is configured to output a process gas. A gas flow system includes a flow channel coupled to the gas source system and the first and second process chambers to provide the process gas into the first and second process chambers. The gas source system further includes a gas flow controller to regulate flow rates of the process gas provided into the first and second chambers in order to provide the first and second substrates with substantially uniform process results.
    Type: Application
    Filed: June 17, 2002
    Publication date: December 18, 2003
    Applicant: Applied Materials, Inc.
    Inventor: Hongching Shan
  • Patent number: 6658917
    Abstract: An air-sampling carrier for sampling air from a clean room of a semiconductor processing facility, an apparatus and a method for analyzing the air, wherein the air-sampling carrier includes a container having an inner space, a door mounted at a front wall of the container, a discharging pipe for discharging the air sample out of the container, and at least one inlet tube for introducing purge gas into the inner space of the container from outside the container. The discharging pipe and inlet tube are respectively formed on an outer surface of the container and connected to an inner space of the container. The air in the unit process tools is effectively sampled using an unmanned carrying apparatus and the pollution level of the air sample is simply and easily measured.
    Type: Grant
    Filed: May 16, 2002
    Date of Patent: December 9, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Tae-Ho Kim, Dong-Seok Ham
  • Publication number: 20030217811
    Abstract: A system and method for processing semiconductor wafers using different wafer processes utilizes multiple processing assemblies to efficiently perform these wafer processes. The wafer processes performed by the processing assemblies may vary with respect to operating parameters or the types of wafer processes, which allows customization of the wafer processes. Each of the processing assemblies is configured to sequentially process two or more semiconductor wafers at different processing positions by sequentially transferring the semiconductor wafers to the different processing positions using a wafer transfer carousel. As the semiconductor wafers are processed at one of the processing assemblies, the processed semiconductor wafers are sequentially transferred to the next processing assembly in an efficient manner. The sequential processing of the semiconductor wafers at each of the processing assemblies and the sequential transferring of the wafers contribute to an increased throughput.
    Type: Application
    Filed: August 16, 2001
    Publication date: November 27, 2003
    Inventor: In Kwon Jeong
  • Publication number: 20030213561
    Abstract: An atmospheric pressure plasma etching reactor, in one embodiment, has a table holding a wafer to be processed and which moves the wafer to be processed under at least one electrode that is mounted in close proximity to the table and defines an entry of a gas mixture, and in another embodiment, has interleaved radio frequency powered electrodes and grounded electrodes. Electrodes may have grooves having preselected widths to enhance the plasma for treatment of the wafers. With a radio-frequency voltage connected between the electrodes, and a gas mixture between the electrode and the wafer, a plasma is created between the electrode and the wafer to be processed, resulting in surface treatment, film removal or ashing of the wafer.
    Type: Application
    Filed: July 29, 2002
    Publication date: November 20, 2003
    Inventors: Gary S. Selwyn, Ivars Henins, Hans Snyder, Hans W. Herrmann
  • Patent number: 6647918
    Abstract: In a substrate vacuum processing chamber, a second inner slit passage door apparatus and method to supplement the normal slit valve and its door at the outside of the chamber. The inner slit passage door, blocks the slit passage at or adjacent the substrate processing location in a vacuum processing chamber to prevent process byproducts from depositing on the inner surfaces of the slit passage beyond the slit passage door and improves the uniformity of plasma in the processing chamber by eliminating a large cavity adjacent to the substrate processing location into which the plasma would otherwise expand.
    Type: Grant
    Filed: November 13, 2000
    Date of Patent: November 18, 2003
    Assignee: Applied Materials, Inc
    Inventors: Michael D. Welch, Homgqing Shan, Paul E. Luscher, Evans Y. Lee, James D. Carducci, Siamak Salimian
  • Patent number: 6630053
    Abstract: A compact single-wafer-processing semiconductor-manufacturing apparatus for processing semiconductor substrates is characterized in that at least two units, each of which comprises a reactor for growing a film on a semiconductor substrate and a load lock chamber for having the semiconductor substrate wait in a vacuum and which is directly connected with the reactor via a gate valve, are modularized and these modularized reactor units can be configured as a cluster through an atmospheric front end (AFE). Inside the load lock chamber, a substrate transfer mechanism comprising a thin link arm for transferring a semiconductor substrate into the reactor is provided.
    Type: Grant
    Filed: August 17, 2001
    Date of Patent: October 7, 2003
    Assignee: ASM Japan K.K.
    Inventors: Takayuki Yamagishi, Masaei Suwada, Takeshi Watanabe
  • Publication number: 20030168173
    Abstract: The vacuum processing device comprises vacuum processing chambers 30a and 30b for performing predetermined treatments to a wafer being transferred to a predetermined position within the chamber, an atmospheric transfer equipment 7 for transferring a wafer in atmospheric air to a vacuum transfer equipment 10, a vacuum transfer equipment 10 disposed within a vacuum transfer chamber 2 connecting the atmospheric air and said vacuum processing chambers for transferring the wafer received from said atmospheric transfer equipment to said predetermined position within said vacuum processing chamber, and wafer position sensors 11a, 11b, 11c and 11d disposed near the ingress path leading into said processing chambers for detecting the displacement of said wafers being transferred.
    Type: Application
    Filed: August 15, 2002
    Publication date: September 11, 2003
    Inventor: Naoyuki Tamura
  • Patent number: 6610151
    Abstract: An apparatus for depositing seed layers from a group of Cu, Ag or an alloy thereof on a substrate having narrow openings surrounded by a filed; the apparatus comprising a controller, said controller including instructions to deposit a conformal seed layer having a thickness of 50-500 Å oil the filed and to deposit a non-conformal seed layer having a thickness of 100-3000 Å on the filed and to stop depositing the seed layers prior to filling the narrow openings, such that the rermaining narrow openings are filled by electroplating. In accordance with the invention, substantially conformal and non-conformal seed layers me deposited in an apparatus where the conformal and non-conformal seed layer deposition steps can be carried out without breaking vacuum, or without exposing the wafer to the atmosphere between the deposition steps.
    Type: Grant
    Filed: May 3, 2000
    Date of Patent: August 26, 2003
    Inventor: Uri Cohen
  • Publication number: 20030155077
    Abstract: A substrate processing apparatus performing prescribed processing on a substrate is provided with a CD measuring unit serving as an inspection unit, an asher unit serving as a regenerative processing unit and a cleaning unit performing cleaning. After the substrate processing apparatus performs resist coating, exposure, development and the like on the substrate and terminates the development, a transport robot transfers the substrate to the CD inspection unit so that the CD inspection unit inspects whether or not the line width of a resist film formed through the development is within the range of a prescribed value. The transport robot transfers a substrate having a line width deviating from the prescribed value to the asher unit so that the asher unit regenerates the substrate by removing the resist film, the cleaning unit cleans the substrate and thereafter the substrate processing apparatus performs prescribed processing again.
    Type: Application
    Filed: January 24, 2003
    Publication date: August 21, 2003
    Applicant: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Akihiro Hisai, Tsuyoshi Matsuka, Koji Kaneyama
  • Publication number: 20030150559
    Abstract: An apparatus for supercritical processing and non-supercritical processing of a workpiece comprises a transfer module, a supercritical processing module, a non-supercritical processing module, and a robot. The transfer module includes an entrance. The supercritical processing module and the non-supercritical processing module are coupled to the transfer module. The robot is preferably located within the transfer module. In operation, the robot transfers a workpiece from the entrance of the transfer module to the supercritical processing module. After supercritical processing, the robot then transfers workpiece from the supercritical processing module to the non-supercritical processing module. After the non-supercritical processing, the robot returns the workpiece to the entrance of the transfer module. Alternatively, the non-supercritical processing is performed before the supercritical processing.
    Type: Application
    Filed: March 6, 2003
    Publication date: August 14, 2003
    Inventors: Maximilian Albert Biberger, Frederick Paul Layman, Thomas Robert Sutton
  • Patent number: 6602346
    Abstract: A vacuum valve assembly for use in a vacuum processing chamber includes a seat defining an opening in the vacuum valve, with the seat having a sealing face adjacent the opening and normal to the direction of the opening; and a gate having a sealing face adapted to mate with the seat sealing face, the gate being movable toward and away from the seat sealing face to seal and open the vacuum valve opening. A continuous elastomeric seal extends around the vacuum valve opening between the gate sealing face and the seat sealing face of sufficient size such that when the gate is positioned to seal the vacuum valve opening, there exists a gap between the gate sealing face and the seat sealing face. A purge gas port system, disposed in the seat or in the gate, has an inlet for a purge gas, an essentially continuous outlet extending around the vacuum valve opening and adjacent the elastomeric seal and gap, and a manifold system connecting the inlet and the outlet.
    Type: Grant
    Filed: August 22, 2000
    Date of Patent: August 5, 2003
    Assignee: Novellus Systems, Inc.
    Inventors: Lawrence A. Gochberg, Christopher W. Burkhart
  • Patent number: 6602348
    Abstract: The present invention provides a cooldown chamber allowing more efficient and rapid cooling of a substrate. The substrate is cooled in the cooldown chamber utilizing a pair of cooling members, preferably mating “clam shell” style members, positioned adjacent the top and bottom surfaces of the substrate. While the top surface of the substrate should not be contacted directly, the upper cooling member can approach the substrate surface, preferably to within about 0.01 to about 0.03 inches. The bottom cooling member should also approach the bottom substrate surface, preferably making contact or being within about 0.01 to about 0.03 inches. With the cooling members closed to define an enclosure around a hot substrate, an inert gas is supplied into the enclosure at pressures between about 5 and about 30 torr to allow efficient thermal conduction from the substrate to the cooling members.
    Type: Grant
    Filed: September 17, 1996
    Date of Patent: August 5, 2003
    Assignee: Applied Materials, Inc.
    Inventor: Terrance R. Rogelstad