With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Publication number: 20100089870
    Abstract: A plasma processing apparatus includes a beam-shaped spacer 7 which is placed at an upper opening of a chamber 3 opposed to a substrate 2 to support a dielectric plate 8. The dielectric plate 8 is supported by the beam-shaped spacer 7. In the beam-shaped spacer 7 are provided a plurality of process gas introducing ports 31, 36 which have a depression angle ?d and which are provided downward and directed toward the substrate 2, as well as a plurality of rare gas introducing ports 41 having a elevation angle ?e directed toward the dielectric plate 8. Improvement of processing rates such as etching rate as well as effective suppression of wear of the dielectric plate 8 can be achieved.
    Type: Application
    Filed: March 19, 2008
    Publication date: April 15, 2010
    Inventors: Mitsuru Hiroshima, Hiromi Asakura
  • Publication number: 20100087030
    Abstract: A method of manufacturing a crystalline silicon solar cell includes steps of preparing a crystalline silicon substrate, texturing the substrate using plasma to form uneven patterns for increasing light absorption, doping ions in the substrate using plasma to form a doping layer for a PN junction, heating the substrate to activate the doped ions, forming an antireflection film on the doping layer, and forming front and back electrodes on front and back surfaces of the substrate, respectively.
    Type: Application
    Filed: May 9, 2008
    Publication date: April 8, 2010
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventor: Joung-Sik Kim
  • Patent number: 7693597
    Abstract: A substrate processing method for removing a resist film from a substrate having the resist film formed thereon comprises maintaining the inner region of the chamber at a prescribed temperature by putting a substrate in a chamber, denaturing the resist film by supplying ozone and a water vapor in such a manner that ozone is supplied into the chamber while a water vapor is supplied into the chamber at a prescribed flow rate, the amount of ozone relative to the amount of the water vapor being adjusted such that the dew formation within the chamber is prevented, and processing the substrate with a prescribed liquid material so as to remove the denatured resist film from the substrate.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: April 6, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Mitsunori Nakamori, Tadashi Iino, Noritaka Uchida, Takehiko Orii
  • Patent number: 7691203
    Abstract: A film forming apparatus is provided that can prevent source gases from reacting together before reaching the substrate being processed in the apparatus, minimize the influence of the radiation heat from the substrate, and make the gas behavior in the reaction chamber better for crystal film formation. The apparatus forms a film on a surface of a heated substrate 5 by causing a first source gas and a second source gas to react together. The apparatus has a processing chamber 1, in which the substrate 5 is placed. The processing chamber 1 is divided into a heating chamber 1a and a reaction chamber 1b by at least the substrate 5 so that the substrate surface can be exposed to the source gases in the reaction chamber 1b. The apparatus further has an exhaust duct 7, through which the exhaust gas can be discharged. The exhaust duct 7 faces the exposed substrate surface and connects with the reaction chamber 1b.
    Type: Grant
    Filed: January 27, 2006
    Date of Patent: April 6, 2010
    Assignee: Air Water Inc.
    Inventors: Toru Inagaki, Takahiro Shirahata, Takashi Yokoyama, Michihiro Sano, Naochika Horio
  • Patent number: 7682454
    Abstract: A seal-protected perimeter partition valve apparatus (450) defines a vacuum and pressure sealed space (401) within a larger space (540) confining a substrate processing chamber with optimized geometry, minimized footprint and 360° substrate accessibility. A compact perimeter partitioned assembly (520) with seal protected perimeter partition valve (450) and internally contained substrate placement member (480) further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: August 9, 2004
    Date of Patent: March 23, 2010
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Publication number: 20100059478
    Abstract: There is provided a substrate supporter capable of securely supporting a substrate such as a wafer on which a device having a predetermined thin film pattern is formed to remove various impurities formed on the rear surface of the substrate, and a plasma processing apparatus having the same. The plasma processing apparatus includes: at least one arm; and a supporting portion extending from the arm toward a substrate seating position of the substrate, so that the plasma processing apparatus can reduce the likelihood of arc discharges compared with conventional dry etching to increase process yield and product reliability, and ensure stable mounting of a substrate.
    Type: Application
    Filed: March 13, 2008
    Publication date: March 11, 2010
    Inventors: Kyung Ho Lee, Jae Ho Guahk, Jae Choi, Young Ki Han, Hee Se Lee, Yong Hwan Lim, Kwan Goo Rha, Seng Hyun Chung, Sun Q Jeon, Jung Hee Lee
  • Publication number: 20100043974
    Abstract: A plasma processing method is arranged to supply a predetermined process gas into a plasma generation space in which a target substrate is placed, and turn the process gas into plasma. The substrate is subjected to a predetermined plasma process by this plasma. The spatial distribution of density of the plasma and the spatial distribution of density of radicals in the plasma are controlled independently of each other relative to the substrate by a facing portion opposite the substrate to form a predetermined process state over the entire target surface of the substrate.
    Type: Application
    Filed: October 28, 2009
    Publication date: February 25, 2010
    Inventors: Akira Koshiishi, Jun Hirose, Masahiro Ogasawara, Taichi Hirano, Hiromitsu Sasaki, Tetsuo Yoshida, Michishige Saito, Hiroyuki Ishihara, Jun Ooyabu, Kohji Numata
  • Publication number: 20100043975
    Abstract: In a gas introduction structure that is reciprocatingly movable in a predetermined direction, a processing gas introduction system is configured to introduce a processing gas into a processing chamber in which a substrate is subjected to a predetermined processing under a clean atmosphere; and a processing gas supply line is configured to be connected to the processing gas introduction system and an external processing gas supply source to supply the processing gas from the processing gas supply source to the processing gas introduction system, mutual relative positions of the processing gas supply source and the processing gas introduction system being changed. The processing gas supply line includes: a first bendable portion connected to the processing gas supply source; a second bendable portion connected to the processing gas introduction system; and a non-bendable pipe interposed between the first bendable portion and the second bendable portion.
    Type: Application
    Filed: November 2, 2009
    Publication date: February 25, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Daisuke HAYASHI
  • Publication number: 20100041238
    Abstract: A tunable multi-zone injection system for a plasma processing system for plasma processing of substrates such as semiconductor wafers. The system includes a plasma processing chamber, a substrate support for supporting a substrate within the processing chamber, a dielectric member having an interior surface facing the substrate support, the dielectric member forming a wall of the processing chamber, a gas injector fixed to part of or removably mounted in an opening in the dielectric window, the gas injector including a plurality of gas outlets supplying process gas at adjustable flow rates to multiple zones of the chamber, and an RF energy source such as a planar or non-planar spiral coil which inductively couples RF energy through the dielectric member and into the chamber to energize the process gas into a plasma state.
    Type: Application
    Filed: October 23, 2009
    Publication date: February 18, 2010
    Applicant: Lam Research Corporation
    Inventors: David J. Cooperberg, Vahid Vahedi, Douglas Ratto, Harmeet Singh, Neil Benjamin
  • Patent number: 7651568
    Abstract: A plasma enhanced atomic layer deposition (PEALD) system includes a first chamber component coupled to a second chamber component to provide a processing chamber defining an isolated processing space within the processing chamber. A substrate holder is provided within the processing chamber and configured to support a substrate, a first process material supply system is configured to supply a first process material to the processing chamber and a second process material supply system is configured to supply a second process material to the processing chamber. A power source is configured to couple electromagnetic power to the processing chamber, and a sealing assembly interposed between the first and second chamber components.
    Type: Grant
    Filed: March 28, 2005
    Date of Patent: January 26, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tadahiro Ishizaka, Tsukasa Matsuda, Frank M. Cerio, Jr., Kaoru Yamamoto
  • Patent number: 7651587
    Abstract: A substrate processing system has a housing that defines a process chamber, a gas-delivery system, a high-density plasma generating system, a substrate holder, and a controller. The housing includes a sidewall and a dome positioned above the sidewall. The dome has physically separated and noncontiguous pieces. The gas-delivery system introduces e a gas into the process chamber through side nozzles positioned between two of the physically separated and noncontiguous pieces of the dome. The high-density plasma generating system is operatively coupled with the process chamber. The substrate holder is disposed within the process chamber and supports a substrate during substrate processing. The controller controls the gas-delivery system and the high-density plasma generating system.
    Type: Grant
    Filed: August 11, 2005
    Date of Patent: January 26, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Siqing Lu, Qiwei Liang, Canfeng Lai, Robert T. Chen, Jason T. Bloking, Irene Chou, Steven H. Kim, Young S. Lee, Ellie Y. Yieh
  • Patent number: 7648579
    Abstract: A substrate support system comprises a substrate holder having a plurality of passages extending between top and bottom surfaces thereof. The substrate holder supports a peripheral portion of the substrate backside so that a thin gap is formed between the substrate and the substrate holder. A hollow support member provides support to an underside of, and is configured to convey gas upward into one or more of the passages of, the substrate holder. The upwardly conveyed gas flows into the gap between the substrate and the substrate holder. Depending upon the embodiment, the gas then flows either outward and upward around the substrate edge (to inhibit backside deposition of reactant gases above the substrate) or downward through passages of the substrate holder, if any, that do not lead back into the hollow support member (to inhibit autodoping by sweeping out-diffused dopant atoms away from the substrate backside).
    Type: Grant
    Filed: February 11, 2005
    Date of Patent: January 19, 2010
    Assignee: ASM America, Inc.
    Inventors: Matt G. Goodman, Jereon Stoutyesdijk, Ravinder Aggarwal, Mike Halpin, Tony Keeton, Mark Hawkins, Lee Haen, Armand Ferro, Paul Brabant, Robert Vyne, Gregory M. Bartlett, Joseph P. Italiano, Bob Haro
  • Publication number: 20100006226
    Abstract: Provided are a method of generating hollow cathode plasma and a method of treating a large area substrate using the hollow cathode plasma. In the methods, the hollow cathode plasma is generated by a gas introduced between a hollow cathode in which a plurality of lower grooves where plasma is generated is defined in a bottom surface thereof and a baffle in which a plurality of injection holes is defined. A substrate disposed on a substrate support member is treated using the hollow cathode plasma passing through the injection holes. The uniform plasma having high density can be generated by hollow cathode effect due to the hollow cathode having the lower grooves and the injection holes of the baffle. Also, since the substrate can be treated using a hydrogen gas and a nitrogen gas in an ashing process, a damage of a low dielectric constant dielectric can be minimized.
    Type: Application
    Filed: June 5, 2009
    Publication date: January 14, 2010
    Inventors: Jeonghee Cho, Shinkeun Park, Jong Ryang Joo, Jae-Kyun Yang
  • Patent number: 7645341
    Abstract: A showerhead electrode assembly of a plasma processing apparatus includes a thermal control plate attached to a showerhead electrode, and a top plate attached to the thermal control plate. At least one thermal bridge is provided between opposed surfaces of the thermal control plate and the top plate to allow electrical and thermal conduction between the thermal control plate and top plate. A lubricating material between the thermal bridge and the top plate minimizes galling of opposed metal surfaces due to differential thermal expansion between the top plate and thermal control plate. A heater supported by the thermal control plate cooperates with the temperature controlled top plate to maintain the showerhead electrode at a desired temperature.
    Type: Grant
    Filed: December 23, 2003
    Date of Patent: January 12, 2010
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jacob
  • Publication number: 20090311872
    Abstract: A gas ring has a ring shape and includes: a gas inlet hole through which a gas is introduced from outside the gas inlet hole into the gas ring; a plurality of gas jets that ejects the gas transferred from the gas inlet hole; and a plurality of branched paths extending along the ring shape from the gas inlet hole to each of the plurality of gas jets. Here, distances between each of the plurality of gas jets to central parts, which are branch points of each of the plurality of branched paths, are identical to each other.
    Type: Application
    Filed: June 12, 2009
    Publication date: December 17, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Hirokazu UEDA, Yoshinobu TANAKA, Yasuhiro OTSUKA, Masanobu NAKAHASHI
  • Patent number: 7632354
    Abstract: A thermal processing system with improved gas flow and method for injecting a process gas into a thermal processing system. The thermal processing system has an injection section with injection outlets that inject process gas into a processing space and a delivery section that delivers process gas to the injection section. The delivery section may be coupled with the injection section at an inlet disposed between opposite ends of the injection section. A fluid lumen of the injection section may have a larger cross-sectional area than a fluid lumen of the delivery section. The thermal processing system may include an inner tube, which surrounds the processing space, having a slit through which the processing space communicates with an annular pumping space defined between the inner tube and an outer tube of the thermal processing system.
    Type: Grant
    Filed: August 8, 2006
    Date of Patent: December 15, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Anthony Dip, Shinji Asari, Meenakshisundaram Gandhi
  • Patent number: 7632356
    Abstract: A gas providing member includes a body portion which forms a gas providing passage between the body portion and a processing member which holds the processing target, and the gas providing passage provides gas onto a processing target. In the body portion, a gas reservoir portion located in the gas providing passage is formed.
    Type: Grant
    Filed: March 13, 2006
    Date of Patent: December 15, 2009
    Assignee: NGK Insulators, Ltd.
    Inventors: Yasumitsu Tomita, Yutaka Unno
  • Patent number: 7628863
    Abstract: A method and apparatus for a chamber for chemical vapor deposition on a substrate in a processing region comprising a gas box having a heated lid comprising a gas inlet passage, and a face plate connected to the heated lid positioned to conduct gas from the heated gas box to a substrate processing region. Also, a method for providing heat to a chemical vapor deposition chamber comprising supplying heat to a lid of a gas box, and heating a face plate connected to the gas box by heat transfer from the lid.
    Type: Grant
    Filed: August 3, 2004
    Date of Patent: December 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Soovo Sen, Inna Shmurun, Thomas Nowak, Nancy Fung, Brian Hopper, Andrzej Kaszuba, Eller Juco
  • Publication number: 20090288773
    Abstract: Apparatus for treating products with plasma generated from a source gas. The apparatus includes a vacuum chamber, a plurality of juxtaposed electrodes arranged in adjacent pairs inside the vacuum chamber, and a plasma excitation source electrically coupled with the electrodes. The apparatus may include conductive members extending into the interior of each electrode to establish a respective electrical connection with the plasma excitation source. The apparatus may include a gas distribution manifold and multiple gas delivery tubes coupled with the gas distribution manifold. Each gas delivery tube has an injection port configured to inject the source gas between each adjacent pair of electrodes. The apparatus may further include flow restricting members that operate to partially obstruct a peripheral gap between each adjacent pair of electrodes, which restricts the escape of the source gas from the process chamber between each adjacent pair of electrodes.
    Type: Application
    Filed: May 20, 2008
    Publication date: November 26, 2009
    Applicant: NORDSON CORPORATION
    Inventors: Thomas V. Bolden, II, Louis Fierro, James D. Getty
  • Patent number: 7622005
    Abstract: Apparatus and methods for distributing gases into a processing chamber are disclosed. In one embodiment, the apparatus includes a gas distribution plate having a plurality of apertures disposed therethrough and a blocker plate having both a plurality of apertures disposed therethrough and a plurality of feed through passageways disposed therein. A first gas pathway delivers a first gas through the plurality of apertures in the blocker plate with sufficient pressure drop to more evenly distribute the gases prior to passing through the gas distribution plate. A bypass gas pathway delivers a second gas through the plurality of feed through passageways in the blocker plate and to areas around the blocker plate prior to the second gas passing through the gas distribution plate.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: November 24, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Ganesh Balasubramanian, Juan Carlos Rocha-Alvarez, Tom K. Cho, Daemian Raj
  • Publication number: 20090283216
    Abstract: A vertical single wall reaction tube type batch processing furnace can reduce the generation of particles. A method of removing native oxide film by fluoride gas can enhance the efficiency of utilization of gas. A method of exciting reaction gas by a catalyst at high temperature can be applied to a batch processing. A method of exciting reaction gas by a catalyst utilizes an oxidizing agent and gas other than an oxidizing agent. The flow rate of gas in the gas injection pipe and that of gas in the exhaust pipe are made to be substantially equal to each other. The gap between two adjacent wafers is made greater than the mean free path of gas. The oxidizing agent is dissociated by a catalyst of Ir, V or Kanthal while the gas other than the oxidizing agent is dissociated by a catalyst of W.
    Type: Application
    Filed: July 27, 2009
    Publication date: November 19, 2009
    Inventor: Mikio TAKAGI
  • Publication number: 20090280276
    Abstract: The invention relates to a method for plasma-assisted chemical vapour deposition for coating or material removal on the inner wall of a hollow body (42). The method involves introducing a gas lance (44) into the hollow body (42) and forming a cavity plasma (45) to form a plasma cloud arranged at the tip of the gas lance by applying an electric radio-frequency field to an RF electrode (41).
    Type: Application
    Filed: July 11, 2007
    Publication date: November 12, 2009
    Applicant: Ralf Stein
    Inventor: Oliver Nöll
  • Publication number: 20090260762
    Abstract: A processing gas introducing mechanism for introducing a processing gas into a processing space is provided between a plasma generation unit and a chamber of a plasma processing apparatus. The processing gas introducing mechanism includes a gas introducing base having therein a gas introducing path for introducing the processing gas into the processing space, and a near ring-shaped gas introducing plate equipped in the hole part of the gas introducing base such that it can be detached therefrom. Herein, the gas introducing base has a hole part forming one portion of the processing space in a central portion thereof, and the gas introducing plate has plural gas discharge holes communicating with the processing space to discharge thereinto the processing gas from the gas introducing path.
    Type: Application
    Filed: June 23, 2009
    Publication date: October 22, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Takayuki Kamaishi, Akinori Shimamura, Masato Morishima
  • Patent number: 7604708
    Abstract: A substrate cleaning apparatus has a remote source to remotely energize a hydrogen-containing gas to form an energized gas having a first ratio of ionic hydrogen-containing species to radical hydrogen-containing species. The apparatus has a process chamber with a substrate support, an ion filter to filter the remotely energized gas to form a filtered energized gas having a second ratio of ionic hydrogen-containing species to radical hydrogen-containing species, the second ratio being different than the first ratio, and a gas distributor to introduce the filtered energized gas into the chamber.
    Type: Grant
    Filed: February 12, 2004
    Date of Patent: October 20, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Bingxi Sun Wood, Mark N. Kawaguchi, James S. Papanu, Roderick C. Mosely, Chiukun Steven Lai, Chien-Teh Kao, Hua Ai, Wei W. Wang
  • Publication number: 20090255901
    Abstract: A tray 15 for a dry etching apparatus 1 has substrate accommodation holes 19A to 19D penetrating thickness direction and a substrate support portion 21 supporting an outer peripheral edge portion of a lower surface 2a of a substrate 2. A dielectric plate 23 has a tray support surface 28 supporting a lower surface of the tray 15, substrate placement portions 29A through 29D inserted from a lower surface side of the tray 15 into the substrate accommodation holes 19A through 19D and having a substrate placement surface 31 at its upper end surface for placing the substrate 2. A dc voltage applying mechanism 43 applies a dc voltage to an electrostatic attraction electrode 40. A heat conduction gas supply mechanism 45 supplies a heat conduction gas between the substrate 2 and substrate placement surface 31. The substrate 2 can be retained on the substrate placement surface 31 with high degree of adhesion.
    Type: Application
    Filed: October 11, 2006
    Publication date: October 15, 2009
    Inventors: Shogo Okita, Hiromi Asakura, Syouzou Watanabe, Ryuzou Houchin, Hiroyuki Suzuki
  • Publication number: 20090250334
    Abstract: Systems and methods of forming plasma are provided. In an embodiment, a plasma generator system is provided including a container, a single coil disposed around the container, the single coil being a single member and having a first end, a second end, a first winding, and a second winding, wherein the first winding extends from the first end, and the second winding is integrally formed as part of the first winding and extends to the second end, an energy source electrically coupled directly to the first end of the single member, and a capacitor electrically coupled directly to the second end of the single member.
    Type: Application
    Filed: April 3, 2008
    Publication date: October 8, 2009
    Applicant: NOVELLUS SYSTEMS, INC.
    Inventors: Huatan Qiu, David Cheung, Prashanth Kothnur
  • Patent number: 7594479
    Abstract: In a film formation chamber, a gas flow to be introduced is rectified in a direction away from the film formation surface of the substrate on which the film is to be formed, so as to exhaust the fine particles generated in the discharge space and the fragmental particles generated by exfoliation of the film from the wall of the vacuum chamber and the discharge electrode, thereby preventing the particles from adhering the film formation surface of the substrate. The fine particles and fragmental particles are sucked and exhausted from a plurality of apertures provided on the entire surface of the discharge electrode to establish a steady state in which the amount of a film deposited on the discharge electrode and the amount of an exfoliating film to be exhausted are equal to each other, thereby allowing continuous film formation without cleaning the discharge electrode over a long period.
    Type: Grant
    Filed: March 28, 2001
    Date of Patent: September 29, 2009
    Assignees: Semiconductor Energy Laboratory Co., Ltd., TDK Corporation
    Inventors: Masato Yonezawa, Naoto Kusumoto, Hisato Shinohara
  • Publication number: 20090236447
    Abstract: Methods and apparatus for processing substrates are provided herein. In some embodiments, a gas distribution apparatus may include a plurality of gas inlets configured to deliver a process gas to a process chamber; and a plurality of flow controllers having outlets coupled to the plurality of gas inlets for independently controlling the flow rate through each of the plurality of gas inlets. The gas distribution apparatus may be coupled to a process chamber for controlling the delivery of one or more process gases thereto.
    Type: Application
    Filed: March 21, 2008
    Publication date: September 24, 2009
    Applicant: APPLIED MATERIALS, INC.
    Inventors: THEODOROS PANAGOPOULOS, ALEXANDER PATERSON, JOHN P. HOLLAND, DAN KATZ, EDWARD P. HAMMOND, IV
  • Publication number: 20090236313
    Abstract: Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings.
    Type: Application
    Filed: March 20, 2008
    Publication date: September 24, 2009
    Applicant: NOVELLUS SYSTEMS, INC.
    Inventors: Huatan QIU, Woody CHUNG, Anirban GUHA, David CHEUNG
  • Publication number: 20090236314
    Abstract: A chemical processing system and a method of using the chemical processing system to treat a substrate with a mono-energetic space-charge neutralized neutral beam-activated chemical process is described. The chemical processing system comprises a first plasma chamber for forming a first plasma at a first plasma potential, and a second plasma chamber for forming a second plasma at a second plasma potential greater than the first plasma potential, wherein the second plasma is formed using electron flux from the first plasma. Further, the chemical processing system comprises a substrate holder configured to position a substrate in the second plasma chamber.
    Type: Application
    Filed: March 21, 2008
    Publication date: September 24, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Lee Chen
  • Publication number: 20090223928
    Abstract: An inductively coupled plasma processing apparatus (100) comprises a plasma chamber (12) with a dielectric window (400) forming a self-supporting wall element of the plasma chamber (12). The dielectric window (400) has an external and an internal side with respect to the chamber (12). An electromagnetic field source (140) is arranged in front of the external side of the dielectric window (400) for generating an electromagnetic field within the plasma chamber (12). The field source comprises at least one magnetic core (301, 302, 303). The at least one magnetic core (301, 302, 303) is attached to the external side of the dielectric window (400), such that the at least one magnetic core helps the dielectric window (400) to withstand collapsing forces caused by negative pressure inside said chamber during operation.
    Type: Application
    Filed: November 22, 2005
    Publication date: September 10, 2009
    Applicant: THE EUROPEAN COMMUNITY, REPRESENTED BY THE EUROPEA N COMMISSION
    Inventors: Pascal Colpo, Francois Rossi, Reinhard Fendler
  • Patent number: 7585384
    Abstract: An apparatus configured to confine a plasma within a processing region in a plasma processing chamber. In one embodiment, the apparatus includes a ring that has a baffle having a plurality of slots and a plurality of fingers. Each slot is configured to have a width less than the thickness of a plasma sheath contained in the processing region.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: September 8, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kallol Bera, Yan Ye, James D. Carducci, Daniel J. Hoffman, Steven C. Shannon, Douglas A. Buchberger, Jr.
  • Publication number: 20090218212
    Abstract: A chamber component configured to be coupled to a processing chamber is described. The chamber component comprises one or more adjustable gas passages through which a process gas is introduced to the process chamber. The adjustable gas passage may be configured to form a hollow cathode that creates a hollow cathode plasma in a hollow cathode region having one or more plasma surfaces in contact with the hollow cathode plasma. Therein, at least one of the one or more plasma surfaces is movable in order to vary the size of the hollow cathode region and adjust the properties of the hollow cathode plasma. Furthermore, one or more adjustable hollow cathodes may be utilized to adjust a plasma process for treating a substrate.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kazuki Denpoh, Peter LG Ventzek, Lin Xu, Lee Chen
  • Publication number: 20090218043
    Abstract: A flow equalizer plate is provided for use in a substrate process chamber. The flow equalizer plate has an annular shape with a flow obstructing inner region, and a perforated outer region that permits the passage of a processing gas, but retains specific elements in the processing gas, such as active radicals or ions. The inner and outer regions have varying radial widths so as to balance a flow of processing gas over a surface of a substrate. In certain embodiments, the flow equalizer plate may be utilized to correct chamber flow asymmetries due to a lateral offset of an exhaust port relative to a center line of a substrate support between the process volume and the exhaust port.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventors: Ajit Balakrishna, Shahid Rauf, Andrew Nguyen, Michael D. Willwerth, Valentin N. Todorow
  • Publication number: 20090221149
    Abstract: An apparatus having a multiple gas injection port system for providing a high uniform etching rate across the substrate is provided. In one embodiment, the apparatus includes a nozzle in the semiconductor processing apparatus having a hollow cylindrical body having a first outer diameter defining a hollow cylindrical sleeve and a second outer diameter defining a tip, a longitudinal passage formed longitudinally through the body of the hollow cylindrical sleeve and at least partially extending to the tip, and a lateral passage formed in the tip coupled to the longitudinal passage, the lateral passage extending outward from the longitudinal passage having an opening formed on an outer surface of the tip.
    Type: Application
    Filed: February 28, 2008
    Publication date: September 3, 2009
    Inventors: Edward P. Hammond, IV, Rodolfo P. Belen, Nicolas Gani, Jing Zou, Meihua Shen, Michael D. Willwerth, David Palagashvili
  • Patent number: 7578883
    Abstract: An arrangement and associated method for abating effluent from an etching process is disclosed.
    Type: Grant
    Filed: August 29, 2001
    Date of Patent: August 25, 2009
    Assignee: LSI Corporation
    Inventors: Michael Williams, Michael Barthman
  • Patent number: 7572337
    Abstract: Apparatus and methods for distributing gases into a processing chamber are disclosed. In one embodiment, the apparatus includes a gas distribution plate having a plurality of apertures disposed therethrough and a blocker plate having both a plurality of apertures disposed therethrough and a plurality of feed through passageways disposed therein. A first gas pathway delivers a first gas through the plurality of apertures in the blocker plate and the gas distribution plate. A bypass gas pathway delivers a second gas through the plurality of feed through passageways in the blocker plate and to areas around the blocker plate prior to the second gas passing through the gas distribution plate.
    Type: Grant
    Filed: May 16, 2005
    Date of Patent: August 11, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Ganesh Balasubramanian, Tom K. Cho, Deenesh Padhi, Thomas Nowak, Bok Hoen Kim, Hichem M'Saad, Daemian Raj
  • Publication number: 20090183833
    Abstract: A plasma processing apparatus comprising: a process chamber for defining a plasma processing space in which a substrate holder for mounting a substrate thereon is installed; a plasma chamber in communication with an upper portion of the process chamber to generate and inject plasma into the plasma processing space such that the substrate is processed; a screen interposed between the process chamber and the plasma chamber to block plasma ions from being injected from the plasma chamber; and an ion trap for protecting the surface of the substrate from damage due to the injected plasma ion.
    Type: Application
    Filed: March 30, 2009
    Publication date: July 23, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventor: Do-Hyeong KIM
  • Publication number: 20090173444
    Abstract: The invention is to realize a gas ejection mechanism, which makes it possible to form a uniform gas flow and to control the temperature and its distribution over a gas plate, and thereby to provide a surface processing apparatus which can continuously carry out uniform processing. A surface processing apparatus of this invention comprises: a process chamber in which a substrate holding mechanism and a gas ejection mechanism are arranged to face each other; an exhaust means; and a gas supply means; wherein a gas distribution mechanism, a cooling or the heating mechanism provided with a coolant channel or a heater to cool or heat a gas plate and a number of gas passages, and the gas plate having a number of gas outlets communicated with the gas passages are arranged in that order from the upper stream to construct the gas ejection mechanism, and wherein the gas plate is fixed to the cooling or heating mechanism with a clamping member or with an electrostatic chucking mechanism.
    Type: Application
    Filed: March 3, 2009
    Publication date: July 9, 2009
    Applicant: CANON ANELVA CORPORATION
    Inventors: Yasumi Sago, Masayoshi Ikeda, Kazuaki Kaneko, Daisuke Kondo, Osamu Morita
  • Publication number: 20090173389
    Abstract: An apparatus for controlling gas flow conductance in a plasma processing chamber being configured with an upper electrode disposed opposite a lower electrode adapted to support a substrate is provided. The apparatus includes a ground ring configured to include a first set of radial slots formed therein. The apparatus also includes a confinement ring arrangement which includes at least a first set of collapsible confinement rings and a second set of collapsible confinement rings which is configured to movably couple to the first set of collapsible confinement rings. The apparatus further includes a mechanism configured at least to collapse and to expand the first set of collapsible confinement rings and the second set of collapsible confinement rings to control gas flow conductance through the first set of radial slots between (a) an unobstructed gas flow, ON state, and (b) an obstructed gas flow, OFF state.
    Type: Application
    Filed: January 7, 2009
    Publication date: July 9, 2009
    Inventor: Andreas Fischer
  • Publication number: 20090159212
    Abstract: A jet plasma gun and a plasma device using the same are provided. The jet plasma gun is for jetting plasma to process a surface of a substrate. The jet plasma gun includes a plasma producer, a plasma nozzle and a barrier. The plasma producer is for providing plasma. The plasma nozzle disposed between the substrate and plasma producer has a first opening and a second opening. The first opening faces plasma producer, and the second opening faces the substrate. The barrier being an insulator is disposed between the plasma nozzle and the substrate and has a through hole corresponding to the second opening. The plasma passes through the plasma nozzle and the through hole to reach the substrate.
    Type: Application
    Filed: June 11, 2008
    Publication date: June 25, 2009
    Applicant: INDUSTRIAL TECHNOLOGY RESEARCH INSTITUTE
    Inventors: Chia-Chiang Chang, Chen-Der Tsai, Wen-Tung Hsu, Chih-Wei Chen, Chin-Jyi Wu
  • Publication number: 20090156013
    Abstract: Polymer is removed from the backside of a wafer held on a support pedestal in a reactor using an arcuate side gas injection nozzle extending through the reactor side wall with a curvature matched to the wafer edge and supplied with plasma by-products from a remote plasma source.
    Type: Application
    Filed: December 12, 2007
    Publication date: June 18, 2009
    Inventors: Imad Yousif, Anchel Sheyner, Ajit Balakrishna, Nancy Fung, Ying Rui, Martin Jeffrey Salinas, Walter R. Merry, Shahid Rauf
  • Patent number: 7543547
    Abstract: An electrode assembly for a plasma processing apparatus includes a backing member secured to an electrode. First fastener members mounted in apertures in the backing member cooperate with second fastener members to hold the electrode assembly to a support member, such as a temperature-controlled top plate in a plasma processing chamber.
    Type: Grant
    Filed: July 22, 2003
    Date of Patent: June 9, 2009
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jacob
  • Patent number: 7537662
    Abstract: A method and apparatus for depositing thin films onto a substrate is provided. The apparatus includes a gas injection structure that is positioned within a reaction chamber that has a platform. The gas injection structure may be positioned above or below the platform and comprises a first gas injector and a second gas injector. The first gas injector is in fluid communication with a first reactant source and a purge gas source. Similarly, the second gas injector is in fluid communication with a second reactant source and a purge gas source. The first and second injectors include hollow tubes with apertures opening to the reaction chamber. In one configuration, the tubes are in the form of interleaved branching tubes forming showerhead rakes. Methods are provided for deposition, in which multiple pulses of purge and reactant gases are provided for each purge and reactant step.
    Type: Grant
    Filed: April 29, 2003
    Date of Patent: May 26, 2009
    Assignee: ASM International N.V.
    Inventors: Pekka J. Soininen, Sven Lindfors
  • Patent number: 7531061
    Abstract: A method and system for controlling the temperatures of at least one gas in a plasma processing environment prior to the at least one gas entering a process chamber. This temperature control may vary at different spatial regions of a showerhead assembly (either an individual gas species or mixed gas species). According to one embodiment, an in-line heat exchanger alters (i.e., increases or decreases) the temperature of passing gas species (either high- or low-density) prior to entering a process chamber, temperature change of the gases is measured by determining a temperature of the gas both upon entrance into the in-line heat exchanger assembly and upon exit.
    Type: Grant
    Filed: September 14, 2004
    Date of Patent: May 12, 2009
    Assignee: Tokyo Electron Limited
    Inventor: Maolin Long
  • Publication number: 20090117746
    Abstract: A gas supply mechanism includes a gas introduction member having gas inlet portions through which a gas is introduced into a processing chamber, a processing gas supply unit, a processing gas supply path, branch paths, an additional gas supply unit and an additional gas supply path. The gas inlet portions includes inner gas inlet portions for supplying the gas toward a region where a target substrate is positioned in the chamber and an outer gas inlet portion for introducing the gas toward a region outside an outermost periphery of the target substrate. The branch paths are connected to the inner gas inlet portions, and the additional gas supply path is connected to the outer gas inlet portion.
    Type: Application
    Filed: October 31, 2008
    Publication date: May 7, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Noriiki MASUDA
  • Publication number: 20090095423
    Abstract: A plasma processing apparatus for performing plasma processing on an object to be processed, including: a processing chamber for performing plasma etching on an object to be processed; a first gas inlet provided at an upper portion of the processing chamber for supplying gas to a center portion in the processing chamber; a plurality of second gas inlets placed on an outer circumference of the first gas inlet for supplying gas to an outer circumference portion in the processing chamber; two lines of gas supply systems for supplying processing gases to the first gas inlet and the second gas inlets, respectively; an evacuation means for reducing the pressure in the processing chamber; an electrode on which the object to be processed is placed disposed in the processing chamber opposed to the first gas inlet and the second gas inlets; a high frequency power supply for generating plasma; and additional gas supply systems provided to the two lines of gas supply systems, respectively, for adding a gas for generating
    Type: Application
    Filed: December 8, 2008
    Publication date: April 16, 2009
    Inventors: Go MIYA, Manabu Edamura, Ken Yoshioka, Ryoji Nishio
  • Patent number: 7510624
    Abstract: A gas distributor for use in a semiconductor processing chamber is provided. The gas distributor comprises a gas inlet, a gas outlet, and a stem section having a spiral thread. The gas distributor further comprises a body having a gas deflecting surface that extends radially outward away from the stem section and a lower face disposed on the opposite side of the body from the gas deflecting surface, a lateral seat disposed between the spiral thread and the gas deflecting surface, and a gas passageway that extends from the gas inlet through the stem section and body to the gas outlet. In a specific embodiment, the lateral seat is adapted to hold a sealing member.
    Type: Grant
    Filed: December 17, 2004
    Date of Patent: March 31, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Qiwei Liang, Siqing Lu
  • Publication number: 20090078375
    Abstract: A plasma processing apparatus includes in a processing chamber, a sample stage, a bell jar, a coil antenna, a Faraday shield, and a gas ring member located below a skirt portion of the bell jar and above the sample stage. The gas ring member supplies a process gas to a plasma generating space inside the bell jar from a gas port disposed on an inner surface of the gas ring member. A ring shaped plate is disposed near a periphery of the Faraday shield and having an inner surface facing and covering along the inner surface of the gas ring member and being spaced from the inner surface of the gas ring member so as to delimit a gap therebetween.
    Type: Application
    Filed: November 26, 2008
    Publication date: March 26, 2009
    Inventors: Ryoji Nishio, Ken Yoshioka, Saburou Kanai, Tadamitsu Kanekiyo, Hideki Kihara, Koji Okuda
  • Patent number: 7507313
    Abstract: A film removal method and apparatus for removing a film from a substrate are disclosed. The method comprises the steps of disposing a plasma generator and a sucking apparatus over the substrate, projecting a plasma beam from the plasma generator onto the film obliquely, disposing the sucking apparatus on a reflection path of plasma projected by the plasma generator, and sucking a by-product of an incomplete plasma reaction occurring to the film so as to keep a surface of the substrate clean, with a view to overcoming the drawbacks of deposition of the by-product which results from using the plasma as a surface cleansing means under atmospheric conditions.
    Type: Grant
    Filed: September 27, 2006
    Date of Patent: March 24, 2009
    Assignee: Industrial Technology Research Institute
    Inventors: Chia-Chiang Chang, Chin-Jyi Wu, Chen-Der Tsai, Chun-Hung Lin