Showerhead-type Patents (Class 156/345.34)
  • Patent number: 11875976
    Abstract: The present invention relates generally to a plasma source utilizing a macro-particle reduction coating and method of using a plasma source utilizing a macro-particle reduction for deposition of thin film coatings and modification of surfaces. More particularly, the present invention relates to a plasma source comprising one or more plasma-generating electrodes, wherein a macro-particle reduction coating is deposited on at least a portion of the plasma-generating surfaces of the one or more electrodes to shield the plasma-generating surfaces of the electrodes from erosion by the produced plasma and to resist the formation of particulate matter, thus enhancing the performance and extending the service life of the plasma source.
    Type: Grant
    Filed: July 20, 2020
    Date of Patent: January 16, 2024
    Assignees: AGC FLAT GLASS NORTH AMERICA, INC., AGC GLASS EUROPE, ASAHI GLASS CO., LTD.
    Inventors: John Chambers, Peter Maschwitz, Yuping Lin, Herb Johnson
  • Patent number: 11859284
    Abstract: A shower head structure and a plasma processing apparatus are provided. The shower head structure includes a plate body with a first zone and a second zone on a first surface. A plurality of first through holes are in the first zone, each of the first through holes having a diameter uniform with others of the first through holes. A plurality of second through holes are in the second zone. The first zone is in connection with the second zone, and the diameter of each of the first through holes is greater than a diameter of each of the second through holes. A plasma processing apparatus includes the shower head structure is also provided.
    Type: Grant
    Filed: August 23, 2019
    Date of Patent: January 2, 2024
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY LTD.
    Inventors: Huan-Chieh Chen, Jhih-Ren Lin, Tai-Pin Liu, Shyue-Shin Tsai, Keith Kuang-Kuo Koai
  • Patent number: 11725283
    Abstract: A plasma processing system is provided. The system includes a chamber, a controller and a showerhead disposed in the chamber. A first gas manifold is connected to the showerhead for providing a first gas from a first gas source responsive to control from the controller. A shower-pedestal is disposed in the chamber and oriented opposite the showerhead. A second gas manifold is connected to the shower-pedestal for providing a second gas from a second gas source responsive to control from the controller. A substrate support for holding a substrate at a spaced apart relationship from the shower-pedestal is provided. A radio frequency (RF) power supply for providing power to the showerhead to generate a plasma is provided. The plasma is used for depositing a film on a back-side of the substrate, when present in the chamber. The substrate is held by the substrate support in the spaced apart relationship from the shower-pedestal, during backside deposition.
    Type: Grant
    Filed: December 16, 2021
    Date of Patent: August 15, 2023
    Assignee: Lam Research Corporation
    Inventors: Fayaz Shaikh, Nick Linebarger, Curtis Bailey
  • Patent number: 11680321
    Abstract: A method for fabricating a semiconductor device, including the steps of: providing a substrate having an etch stop layer formed thereon; forming a preliminary stacked structure on the etch stop layer, the preliminary stacked structure including a lower sacrifice layer contacting the etch stop layer, a support layer, and an upper sacrifice layer; forming a hole penetrating the preliminary stacked structure and the etch stop layer; forming a conductive pattern in the hole; removing the upper sacrifice layer and a portion of the support layer; removing the lower sacrifice layer; forming a first conductive layer covering the conductive pattern; and forming a dielectric layer covering the first conductive layer, a remaining portion of the support layer, and the etch stop layer.
    Type: Grant
    Filed: February 24, 2022
    Date of Patent: June 20, 2023
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventors: Chan-Sul Joo, Jee-Hoon Kim
  • Patent number: 11600468
    Abstract: Embodiments described herein relate to gas line systems with a multichannel splitter spool. In these embodiments, the gas line systems will include a first gas line that is configured to supply a first gas. The first gas line is coupled to a multichannel splitter spool with a plurality of second gas lines into which the first gas flows. Each gas line of the plurality of second gas lines will have a smaller volume than the volume of the first gas line. The smaller second gas lines will be wrapped by a heater jacket. Due to the smaller volume of the second gas lines, when the first gas is flowed through the second gas lines, the heater jacket will sufficiently heat the first gas, eliminating the condensation induced particle defects that occur in conventional gas line systems when the first gas meets with a second gas in the gas line system.
    Type: Grant
    Filed: January 21, 2020
    Date of Patent: March 7, 2023
    Assignee: Applied Materials, Inc.
    Inventors: Madhu Santosh Kumar Mutyala, Sanjay G. Kamath, Deenesh Padhi, Arkajit Roy Barman
  • Patent number: 11476093
    Abstract: An apparatus for plasma processing includes a first plasma source, a first planar electrode, a gas distribution device, a plasma blocking screen and a workpiece chuck. The first plasma source produces first plasma products that pass, away from the first plasma source, through first apertures in the first planar electrode. The first plasma products continue through second apertures in the gas distribution device. The plasma blocking screen includes a third plate with fourth apertures, and faces the gas distribution device such that the first plasma products pass through the plurality of fourth apertures. The workpiece chuck faces the second side of the plasma blocking screen, defining a process chamber between the plasma blocking screen and the workpiece chuck. The fourth apertures are of a sufficiently small size to block a plasma generated in the process chamber from reaching the gas distribution device.
    Type: Grant
    Filed: December 5, 2019
    Date of Patent: October 18, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Toan Q. Tran, Soonam Park, Zilu Weng, Dmitry Lubomirsky
  • Patent number: 11456161
    Abstract: The systems and methods discussed herein are associated with substrate support pedestals used in processing chambers to manufacture semiconductors, electronics, optics, and other devices. The substrate support pedestals include an electrostatic chuck body bonded to a cooling base via a bond layer. A gas flow passage is formed between a top surface of the electrostatic chuck body and a bottom surface of the cooling base, and a porous plug is positioned in the gas flow passage. The gas flow passage passes through a hole in the bond layer and the porous plug and has a swept volume physically shielded from an inside edge of the hole in the bond layer, protecting the bond layer from erosion.
    Type: Grant
    Filed: May 24, 2019
    Date of Patent: September 27, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Steven Joseph Larosa, Stephen Prouty
  • Patent number: 11408071
    Abstract: A gas supplying unit of a substrate treating apparatus is proposed. The gas supplying unit includes: a gas distribution plate having a first surface and a second surface opposite the first surface, and having first gas supply holes formed through the first surface and the second surface; a shower head having a third surface being in close contact with the second surface and a fourth surface opposite the third surface, and having second gas supply holes formed through the third surface and the fourth surface to be connected to the first gas supply holes; and heat transfer members having first ends inserted in at least one of the gas distribution plate and the shower head and second ends being in contact with any one of the shower head and the gas distribution plate.
    Type: Grant
    Filed: February 27, 2020
    Date of Patent: August 9, 2022
    Assignee: SEMES CO., LTD.
    Inventors: Hyoung Kyu Son, Jin Hyun Lee
  • Patent number: 11332827
    Abstract: A gas distribution plate for a showerhead assembly of a processing chamber may include at least a first plate and second plate. The first plate may include a first plurality holes each having a diameter of at least about 100 um. The second plate may include a second plurality of holes each having a diameter of at least about 100 um. Further, each of the first plurality of holes is aligned with a respective one of the second plurality of holes forming a plurality of interconnected holes. Each of the interconnected holes is isolated from each other interconnected holes.
    Type: Grant
    Filed: February 26, 2020
    Date of Patent: May 17, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Sumit Agarwal, Sanjeev Baluja, Chad Peterson, Michael R. Rice
  • Patent number: 11306393
    Abstract: The present disclosure relates to methods and apparatus for an atomic layer deposition (ALD) chamber. In one embodiment, a lid assembly is provided that includes a multi-channel showerhead having a plurality of first gas channels and a plurality of second gas channels that are fluidly isolated from each of the first gas channels, and a flow guide coupled to opposing sides of the multi-channel showerhead, each of the flow guides being fluidly coupled to the plurality of second gas channels.
    Type: Grant
    Filed: July 19, 2019
    Date of Patent: April 19, 2022
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Jeffrey A. Kho, Chien-Teh Kao, Jianhua Zhou
  • Patent number: 11286565
    Abstract: An apparatus for processing a substrate is provided. The apparatus comprises a processing chamber and a showerhead. The showerhead is in the processing chamber and has a plurality of first holes with a first size in a first zone of the showerhead and a plurality of second holes with a second hole size in a second zone of the showerhead. The first hole size is different from the second hole size. The first zone is surrounded by the second zone. An area of the first zone is larger than an area of the second zone.
    Type: Grant
    Filed: December 10, 2019
    Date of Patent: March 29, 2022
    Assignee: XIA TAI XIN SEMICONDUCTOR (QING DAO) LTD.
    Inventors: Chan-Sul Joo, Jee-Hoon Kim
  • Patent number: 11225718
    Abstract: The present invention improves the in-plane uniformity of film formation via a plasma treatment.
    Type: Grant
    Filed: March 3, 2016
    Date of Patent: January 18, 2022
    Assignees: CORE TECHNOLOGY, INC., ASKAGI CORPORATION
    Inventors: Toshiaki Yoshimura, Hiroyuki Minowa, Lung Kei Amos Shek
  • Patent number: 11214872
    Abstract: A cyclical epitaxial deposition system and a gas distribution module are provided. The gas distribution module includes an inflow element having a plurality of inlet holes, a guide assembly, and an outflow element. The guide assembly disposed between the inflow and outflow elements includes a plurality of guide channels separate from one another and a plurality of temporary gas retention trenches respectively corresponding to the guide channels. Each of the guide channels is in fluid communication with the corresponding inlet hole. The outflow element has a plurality of diffusion regions respectively corresponding to the gas retention trenches, and a plurality of outlet channels respectively corresponding to the diffusion regions. Each of the diffusion regions has a plurality of diffusion apertures, and each of the temporary gas retention trenches is in fluid communication with the corresponding outlet channel through the diffusion apertures in the corresponding diffusion region.
    Type: Grant
    Filed: May 29, 2020
    Date of Patent: January 4, 2022
    Assignee: GOLD CARBON CO., LTD.
    Inventors: Chien-Te Hsieh, Yeou-Fu Lin, Chia-Hung Chao
  • Patent number: 11092129
    Abstract: A barrier-discharge-type ignition apparatus that can accurately determine the application voltage, of a barrier ignition plug, that causes a non-ignition discharge to occur. In the barrier-discharge-type ignition apparatus, in a combustion assist control, the voltage difference between the one-period-prior application voltage and the present-period application voltage in the AC period is calculated based on an application voltage detected by a voltage detection circuit; then, it is determined whether or not a discharge exists in the barrier ignition plug, based on the comparison between the voltage difference and a preliminarily set discharge determination threshold value.
    Type: Grant
    Filed: February 28, 2017
    Date of Patent: August 17, 2021
    Assignee: MITSUBISHI ELECTRIC CORPORATION
    Inventors: Ryota Asakura, Tomokazu Sakashita, Takahiro Inoue
  • Patent number: 11043360
    Abstract: A gas distribution plate assembly for a processing chamber is provided that in one embodiment includes a body made of a metallic material, a base plate comprising a silicon infiltrated metal matrix composite coupled to the body, and a perforated faceplate comprising a silicon disk coupled to the base plate by a bond layer.
    Type: Grant
    Filed: January 24, 2017
    Date of Patent: June 22, 2021
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Kenneth S. Collins, Kartik Ramaswamy, Michael R. Rice, Richard Charles Fovell, Vijay D. Parkhe
  • Patent number: 11031262
    Abstract: Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield.
    Type: Grant
    Filed: April 2, 2020
    Date of Patent: June 8, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Saptarshi Basu, Jeongmin Lee, Paul Connors, Dale R. Du Bois, Prashant Kumar Kulshreshtha, Karthik Thimmavajjula Narasimha, Brett Berens, Kalyanjit Ghosh, Jianhua Zhou, Ganesh Balasubramanian, Kwangduk Douglas Lee, Juan Carlos Rocha-Alvarez, Hiroyuki Ogiso, Liliya Krivulina, Rick Gilbert, Mohsin Waqar, Venkatanarayana Shankaramurthy, Hari K. Ponnekanti
  • Patent number: 11017986
    Abstract: Disclosed embodiments generally relate to a processing chamber that includes a perforated lid, a gas blocker disposed on the perforated lid, and a substrate support disposed below the perforated lid. The gas blocker includes a gas manifold, a central gas channel formed in the gas manifold, a first gas distribution plate that includes inner and outer trenches surrounding the central gas channel, and a first and second gas channels formed in the gas manifold. The first gas channel is in fluid communication with a first gas source and the inner trench, and the second gas channel is in fluid communication with the first gas source and the outer trench and a second gas distribution plate The first gas channel is in further fluid communication with a third gas distribution plate that is disposed below the second gas distribution plate, and a plurality of pass-through channels that are disposed between the second gas distribution plate and the third gas distribution plate.
    Type: Grant
    Filed: June 6, 2018
    Date of Patent: May 25, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Sanjeev Baluja, Yi Yang, Truong Nguyen, Nattaworn Boss Nunta, Joseph F. Aubuchon, Tuan Anh Nguyen, Karthik Janakiraman
  • Patent number: 10964514
    Abstract: An electrode for transmitting radiofrequency power to a plasma processing region includes a plate formed of semiconducting material and a high electrical conductivity layer formed on a top surface of the plate and integral with the plate. The high electrical conductivity layer has a lower electrical resistance than the semiconducting material of the plate. The electrode includes a distribution of through-holes. Each through-hole extends through an entire thickness of the electrode from a top surface of the high electrical conductivity layer to a bottom surface of the plate. In some embodiments, the plate can be formed of a silicon material and the high electrical conductivity layer can be a silicide material formed from the silicon material of the plate.
    Type: Grant
    Filed: October 17, 2017
    Date of Patent: March 30, 2021
    Assignee: Lam Research Corporation
    Inventors: Evan Edward Patton, John Daugherty
  • Patent number: 10954596
    Abstract: A dual channel showerhead comprising a first plurality of channels formed in the back surface of the showerhead and extending from a first end to a second end, a second plurality of channels formed through the thickness of the showerhead and extending from a first end to a second end, a first end plenum in fluid connection with the second plurality of channels at the first end and a second end plenum in fluid connection with the second plurality of channels at the second end. Processing chambers including the dual channel showerhead and a blocker ring separating the edge ring from the pumping ring are also discussed.
    Type: Grant
    Filed: December 5, 2017
    Date of Patent: March 23, 2021
    Assignee: Applied Materials, Inc.
    Inventors: Alexander S. Polyak, Joseph Yudovsky
  • Patent number: 10811234
    Abstract: A plasma processing apparatus includes supporting members, connecting members and a sliding member. Each of the supporting members is partially disposed in a disc-shaped cooling plate and configured to support an upper electrode in a direction of gravity. Each of the connecting members is partially disposed in the cooling plate and extends in a diametrical direction of the cooling plate to be engaged with the corresponding supporting member. The sliding member is configured to slide the connecting members inward in the diametrical direction of the cooling plate, thereby pushing upward the supporting member and lifting the upper electrode to the cooling plate.
    Type: Grant
    Filed: April 6, 2018
    Date of Patent: October 20, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shin Matsuura, Jun Young Chung
  • Patent number: 10665448
    Abstract: A plasma processing apparatus having a stable plasma generation under wide-ranging process conditions, and superior in uniformity and reproducibility, comprises an upper electrode 3 having gas supply through holes 6, a gas supply means and a lower electrode 1, wherein the gas supply means includes a plane-like member 4 having gas through holes 8 and a plane-like member 5 having gas through holes 10, and the gas supply through holes 6 and the gas through holes 8 are connected through a groove 7, and the gas through holes 8 and the gas through holes 10 are connected through a groove 9, and wherein the gas supply through holes 6, the gas through holes 8 and the gas through holes 10 are disposed at positions, different from each other on a plane.
    Type: Grant
    Filed: July 30, 2013
    Date of Patent: May 26, 2020
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Ken'etsu Yokogawa, Masahito Mori, Takao Arase
  • Patent number: 10643839
    Abstract: A film forming apparatus includes a gas injection unit having a shower plate provided with gas injection holes, and a plurality of partition regions through which gases are separately injected and which are defined by dividing an arrangement region of the gas injection holes into a plurality of concentric regions in a diametrical direction of the substrate. A supply amount of a raw material gas per unit time in a raw material gas supply period in a cycle of forming a monomolecular layer by supplying the raw material gas and a reactant gas multiple times, and per unit area of the shower plate, and/or a supply amount of the reactant gas per unit time in a reaction period of the raw material gas and the reactant gas in the cycle, and per unit area of the shower plate becomes different in at least two of the partition regions.
    Type: Grant
    Filed: December 7, 2017
    Date of Patent: May 5, 2020
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Ayuta Suzuki, Kosuke Yamamoto, Kazuyoshi Matsuzaki, Munehito Kagaya, Tsuyoshi Moriya, Tadashi Mitsunari, Atsushi Kubo
  • Patent number: 10636684
    Abstract: Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield.
    Type: Grant
    Filed: August 14, 2019
    Date of Patent: April 28, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Saptarshi Basu, Jeongmin Lee, Paul Connors, Dale R. Du Bois, Prashant Kumar Kulshreshtha, Karthik Thimmavajjula Narasimha, Brett Berens, Kalyanjit Ghosh, Jianhua Zhou, Ganesh Balasubramanian, Kwangduk Douglas Lee, Juan Carlos Rocha-Alvarez, Hiroyuki Ogiso, Liliya Krivulina, Rick Gilbert, Mohsin Waqar, Venkatanarayana Shankaramurthy, Hari K. Ponnekanti
  • Patent number: 10590530
    Abstract: A process chamber is provided including a sidewall, a substrate support, and an exhaust vent disposed above the substrate support. A processing region is formed between the exhaust vent and substrate support, and the exhaust vent is coupled to an exhaust device configured to create a low pressure at the exhaust vent relative to the processing region. The process chamber further includes a gas ring including an annular shaped body having an inner surface that circumscribes an annular region. The gas ring further includes a plurality of first nozzles that are coupled to a first gas source and configured to deliver a first gas to the processing region. The gas ring further includes a plurality of second nozzles that are coupled to a second gas source and configured to deliver a second gas to the processing region.
    Type: Grant
    Filed: March 1, 2019
    Date of Patent: March 17, 2020
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Qiwei Liang, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10580628
    Abstract: One process used to remove material from a surface is ion etching. In certain cases, ion etching involves delivery of both ions and a reactive gas to a substrate. The disclosed embodiments permit local high pressure delivery of reactive gas to a substrate while maintaining a much lower pressure on portions of the substrate that are outside of the local high pressure delivery area. In many cases, the low pressure is achieved by providing an injection head that confines the high pressure reactant delivery to a small area and vacuums away excess reactants and byproducts as they leave this small area and before they enter the larger substrate processing region. The disclosed injection head may be used to increase throughput while minimizing deleterious collisions between ions and other species present in the substrate processing region. The disclosed injection head may also be used in other types of semiconductor wafer processing.
    Type: Grant
    Filed: October 25, 2017
    Date of Patent: March 3, 2020
    Assignee: Lam Research Corporation
    Inventors: Ivan L. Berry, III, Thorsten Lill, Kenneth Reese Reynolds
  • Patent number: 10487401
    Abstract: A PECVD chamber is disclosed with a temperature controlled gas distribution showerhead. The gas distribution showerhead is temperature controlled heated by circulating a g fluid through conduit formed within or coupled to the gas distribution showerhead. The PECVD chamber comprises a gas distribution showerhead, a backing plate coupled to the gas distribution showerhead, the backing plate having a bottom surface facing and substantially parallel with and spaced from an upper surface of the gas distribution showerhead; and a temperature controlling device coupled to the gas distribution showerhead and disposed between the backing plate and the gas distribution showerhead.
    Type: Grant
    Filed: September 27, 2016
    Date of Patent: November 26, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Shinichi Kurita, Robin L. Tiner
  • Patent number: 10480077
    Abstract: Methods and apparatus for forming thin films are described. A semiconductor processing chamber includes a substrate support, an electrode opposite the substrate support, the electrode having a gas inlet in a peripheral region thereof, and an edge ring disposed around a peripheral region of the substrate support, the edge ring having a first barrier and a second barrier, wherein each of the first barrier and the second barrier mates with a recess in the electrode. The edge ring provides a gas flow path through a processing zone between the substrate support and the electrode that is substantially parallel to the upper surface of the substrate support. The electrode may be powered to enhance formation of a film on a substrate.
    Type: Grant
    Filed: February 21, 2014
    Date of Patent: November 19, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Dale R. Du Bois, Jianhua Zhou, Juan Carlos Rocha-Alvarez
  • Patent number: 10453656
    Abstract: Embodiments of the present invention provide a plasma chamber design that allows extremely symmetrical electrical, thermal, and gas flow conductance through the chamber. By providing such symmetry, plasma formed within the chamber naturally has improved uniformity across the surface of a substrate disposed in a processing region of the chamber. Further, other chamber additions, such as providing the ability to manipulate the gap between upper and lower electrodes as well as between a gas inlet and a substrate being processed, allows better control of plasma processing and uniformity as compared to conventional systems.
    Type: Grant
    Filed: June 30, 2016
    Date of Patent: October 22, 2019
    Assignee: Applied Materials, Inc.
    Inventors: James D. Carducci, Hamid Tavassoli, Ajit Balakrishna, Zhigang Chen, Andrew Nguyen, Douglas A. Buchberger, Jr., Kartik Ramaswamy, Shahid Rauf, Kenneth S. Collins
  • Patent number: 10403515
    Abstract: Implementations disclosed herein describe a bevel etch apparatus within a loadlock bevel etch chamber and methods of using the same. The bevel etch apparatus has a mask assembly within the loadlock bevel etch chamber. During an etch process, the mask assembly delivers a gas flow to control bevel etch without the use of a shadow frame. As such, the edge exclusion at the bevel edge can be reduced, thus increasing product yield.
    Type: Grant
    Filed: February 2, 2016
    Date of Patent: September 3, 2019
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Saptarshi Basu, Jeongmin Lee, Paul Connors, Dale R. Du Bois, Prashant Kumar Kulshreshtha, Karthik Thimmavajjula Narasimha, Brett Berens, Kalyanjit Ghosh, Jianhua Zhou, Ganesh Balasubramanian, Kwangduk Douglas Lee, Juan Carlos Rocha-Alvarez, Hiroyuki Ogiso, Liliya Krivulina, Rick Gilbert, Mohsin Waqar, Venkatanarayana Shankaramurthy, Hari K. Ponnekanti
  • Patent number: 10332772
    Abstract: An electrostatic chuck (ESC) with a cooling base for plasma processing chambers, such as a plasma etch chamber. In embodiments, a plasma processing chuck includes a plurality of independent edge zones. In embodiments, the edge zones are segments spanning different azimuth angles of the chuck to permit independent edge temperature tuning, which may be used to compensate for other chamber related non-uniformities or incoming wafer non-uniformities. In embodiments, the chuck includes a center zone having a first heat transfer fluid supply and control loop, and a plurality of edge zones, together covering the remainder of the chuck area, and each having separate heat transfer fluid supply and control loops. In embodiments, the base includes a diffuser, which may have hundreds of small holes over the chuck area to provide a uniform distribution of heat transfer fluid.
    Type: Grant
    Filed: March 10, 2014
    Date of Patent: June 25, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Kyle Tantiwong, Vladimir Knyazik, Samer Banna
  • Patent number: 10332729
    Abstract: A compression member for use in a showerhead electrode assembly of a capacitively coupled plasma chamber. The member applies a compression force to a portion of a film heater adjacent a power supply boot on an upper surface of a thermal control plate and is located between the thermal control plate and a temperature-controlled top plate. The member is composed of an electrically insulating elastomeric material which can work over a large range of compressions and temperatures.
    Type: Grant
    Filed: February 13, 2018
    Date of Patent: June 25, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Darrell Ehrlich, Daniel Arthur Brown, Ian Kenworthy
  • Patent number: 10304664
    Abstract: Substrate treating systems are disclosed. The system may include a chamber with a processing space, a supporting unit provided in the processing space to support a substrate, a gas supplying unit provided in the processing space to supply gas into the processing space, a plasma source unit generating plasma from the gas, and a liner unit disposed to enclose the supporting unit. The supporting unit may include a supporting plate supporting a substrate. The liner unit may include an inner liner enclosing the supporting plate and an actuator vertically moving the inner liner.
    Type: Grant
    Filed: October 19, 2017
    Date of Patent: May 28, 2019
    Assignee: Semes Co., Ltd.
    Inventors: Hyungchul Moon, Hyung Joon Kim
  • Patent number: 10287684
    Abstract: A substrate processing apparatus includes a process chamber including a process space configured to accommodate a substrate; a substrate support part including a substrate mounting stand; a first gas supply part; a second gas supply part; a gas introduction port configured to introduce a gas from the first gas supply part or the second gas supply part; a gas rectifying part including an opening through which the gas introduced from the gas introduction port passes; a gas flow passage communicated with the opening and formed between the gas rectifying part and an outer periphery of the substrate mounting stand in a circumferential direction; a gas pressure equalizing part including at least two gas pressure equalizing spaces; a purge gas supply part configured to supply different amount of a purge gas to each of the at least two gas pressure equalizing spaces; and a conductance adjustment part.
    Type: Grant
    Filed: July 2, 2015
    Date of Patent: May 14, 2019
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventor: Hidehiro Yanai
  • Patent number: 10240232
    Abstract: A process chamber is provided including a sidewall, a substrate support, and an exhaust vent disposed above the substrate support. A processing region is formed between the exhaust vent and substrate support, and the exhaust vent is coupled to an exhaust device configured to create a low pressure at the exhaust vent relative to the processing region. The process chamber further includes a gas ring including an annular shaped body having an inner surface that circumscribes an annular region. The gas ring further includes a plurality of first nozzles that are coupled to a first gas source and configured to deliver a first gas to the processing region. The gas ring further includes a plurality of second nozzles that are coupled to a second gas source and configured to deliver a second gas to the processing region.
    Type: Grant
    Filed: June 16, 2016
    Date of Patent: March 26, 2019
    Assignee: Applied Materials, Inc.
    Inventors: Qiwei Liang, Srinivas D. Nemani, Ellie Y. Yieh
  • Patent number: 10217614
    Abstract: A gas distribution plate for a substrate processing system includes a ceramic lower portion of the gas distribution plate including a plurality of ceramic green sheets. A ceramic upper portion of the gas distribution plate includes a plurality of ceramic green sheets. An electrode is printed on at least one of an upper surface of the ceramic lower portion and a lower surface of the ceramic upper portion using metal screen printing. A first plurality of through holes is machined through the ceramic lower portion and the ceramic upper portion of the gas distribution plate prior to sintering.
    Type: Grant
    Filed: January 12, 2015
    Date of Patent: February 26, 2019
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Jeremy Tucker, Ramkishan Rao Lingampalli, Tony Kaushal
  • Patent number: 10190214
    Abstract: A deposition apparatus includes: a substrate support having a main surface on which a substrate is placed; a body disposed on the main surface and including a hollow portion having an exposed upper portion; a plasma electrode unit provided at a inner circumferential surface of the body to separate the hollow portion into an upper space and a lower space; and a gas supply unit supplying process gas to the plasma electrode unit, wherein a gas exhaust channel extending from the lower space to an exhaust outlet provided at a top of the body is formed in the body.
    Type: Grant
    Filed: July 12, 2016
    Date of Patent: January 29, 2019
    Assignee: ASM IP Holding B.V.
    Inventors: Jong Won Shon, Dae Youn Kim, Sang Don Lee, Hyun Soo Jang
  • Patent number: 9982340
    Abstract: An apparatus comprises: a shower head having a supply plenum for supplying the gas to the chamber and a vacuum manifold fluidly coupled to the supply plenum; and at least one vacuum system fluidly coupled to the vacuum manifold of the shower head.
    Type: Grant
    Filed: April 4, 2012
    Date of Patent: May 29, 2018
    Assignee: Taiwan Semiconductor Manufacturing Co. Ltd.
    Inventors: Chih-Tsung Lee, Hung Jui Chang, You-Hua Chou, Shiu-Ko Jangjian, Chung-En Kao, Ming-Chin Tsai, Huan-Wen Lai
  • Patent number: 9758869
    Abstract: Embodiments disclosed herein generally relate to an apparatus having an anodized gas distribution showerhead. In large area, parallel plate RF processing chambers, mastering the RF return path can be challenging. Arcing is a frequent problem encountered in RF processing chambers. To reduce arcing in RF processing chambers, straps may be coupled to the susceptor to shorten the RF return path, a ceramic or insulating or anodized shadow frame may be coupled to the susceptor during processing, and an anodized coating may be deposited onto the edge of the showerhead that is nearest the chamber walls. The anodized coating may reduce arcing between the showerhead and the chamber walls and therefore enhance film properties and increase deposition rate.
    Type: Grant
    Filed: May 13, 2010
    Date of Patent: September 12, 2017
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, Suhail Anwar, Gaku Furuta, Beom Soo Park, Robin L Tiner, John M White, Shinichi Kurita
  • Patent number: 9741545
    Abstract: Embodiments of the disclosure generally relate to a hybrid plasma processing system incorporating a remote plasma source (RPS) unit with a capacitively coupled plasma (CCP) unit for substrate processing. In one embodiment, the hybrid plasma processing system includes a CCP unit, comprising a lid having one or more through holes, and an ion suppression element, wherein the lid and the ion suppression element define a plasma excitation region, a RPS unit coupled to the CCP unit, and a gas distribution plate disposed between the ion suppression element and a substrate support, wherein the gas distribution plate and the substrate support defines a substrate processing region. In cases where process requires higher power, both CCP and RPS units may be used to generate plasma excited species so that some power burden is shifted from the CCP unit to the RPS unit, which allows the CCP unit to operate at lower power.
    Type: Grant
    Filed: November 22, 2016
    Date of Patent: August 22, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Xinglong Chen, Saurabh Garg, Jang-Gyoo Yang
  • Patent number: 9589823
    Abstract: A mounting table includes an electrostatic chuck, a base, and a cylindrical sleeve. The electrostatic chuck has a top surface to be exposed to plasma and a bottom surface opposite to the top surface, and a first through-hole is formed through the electrostatic chuck. The base is bonded to the bottom surface of the electrostatic chuck by a first adhesive, and a second through-hole is formed through the base. The second through-hole communicates with the first through-hole and has a diameter larger than a diameter of the first through-hole. The sleeve is bonded to the bottom surface of the electrostatic chuck by a second adhesive while communicating with the first through-hole.
    Type: Grant
    Filed: December 17, 2012
    Date of Patent: March 7, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Yasuharu Sasaki, Takeshi Sugamata, Tadashi Aoto
  • Patent number: 9540731
    Abstract: Reconfigurable showerheads used in process chambers for substrate processing are provided herein. In some embodiments, a reconfigurable showerhead may include a body having one or more plenums disposed therein; and one or more inserts configured to be disposed within the one or more plenums, wherein the one or more inserts divide the reconfigurable showerhead into a plurality of zones. In some embodiments, a substrate processing system may include a process chamber having a reconfigurable showerhead coupled to a gas supply for providing one or more process gases to the process chamber, the reconfigurable showerhead including a body having one or more plenums disposed therein and one or more inserts configured to be disposed within the one or more plenums, wherein the one or more inserts divide the reconfigurable showerhead into a plurality of zones.
    Type: Grant
    Filed: October 6, 2010
    Date of Patent: January 10, 2017
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Hamid Noorbakhsh, James D. Carducci
  • Patent number: 9493875
    Abstract: A chemical vapor deposition apparatus comprises a chamber, a chamber lead having a gas in port configured to receive a reaction gas, the chamber lead connected to a top surface of the chamber to seal up the chamber, a shower head connected to the chamber lead, the shower head having a plurality of spray holes separated from each other for spraying the reaction gas onto the surface of a wafer in the chamber, and a protrusion surrounding the spray holes on the bottom surface of the shower head so that an induction groove is provided inside the protrusion, wherein the plurality of spray holes have a plurality of main holes and a plurality of supplementary holes, each of the main holes is uniformly arranged in each corner of a square-grid pattern across the shower head and each of the supplementary holes is disposed at each centerpoint of the square-grid pattern.
    Type: Grant
    Filed: March 20, 2014
    Date of Patent: November 15, 2016
    Assignee: EUGENE TECHNOLOGY CO., LTD.
    Inventor: Pyung-yong Um
  • Patent number: 9484190
    Abstract: Proposed is a showerhead-cooler system of a semiconductor-processing chamber with uniform distribution of plasma density. The showerhead has a plurality of through gas holes that are coaxial with respective channels of the gas-feeding cooler plate. On the gas inlet side, the though passages of the showerhead are provided with unequal conical nozzles characterized by a central angle that decreases from the peripheral part of the showerhead to the showerhead center. Such design provides uniformity of plasma density. Furthermore, in order to protect the walls of the nozzle and the walls of the gas holes from erosion that may be caused by the hollow-cathode phenomenon, these areas are coated with a thin protective coating that is resistant to electrical breakdown and chemical corrosion.
    Type: Grant
    Filed: January 25, 2014
    Date of Patent: November 1, 2016
    Inventor: Yuri Glukhoy
  • Patent number: 9384993
    Abstract: An oxide etching method includes loading an object to be processed, on a surface of which a patterned silicon oxide film is formed, in a chamber, supplying HF gas and NH3 gas as reactant gases and a diluent gas to the chamber to conduct a reaction treatment in which the HF gas and the NH3 gas are reacted with the silicon oxide film. Thereafter, a heating process is performed to remove a reaction product generated by the reaction treatment. In the reaction treatment, a pressure in the chamber is increased to a predetermined value by increasing a flow rate of the diluent gas so that no etching residue remains and an etching shape has high verticality after the heating process.
    Type: Grant
    Filed: November 21, 2014
    Date of Patent: July 5, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Tomoki Suemasa
  • Patent number: 9362091
    Abstract: A substrate treating apparatus includes a chamber that encloses an internal space; a susceptor in a lower part of the internal space; a shower head in an upper part of the internal space and spaced above the susceptor and that includes a plurality of distribution holes; and a blocker plate assembly that comprises a body having a plurality of intake holes that divides a space between a top wall of the chamber and the shower head into an upper intake space and a lower distribution space, a ring-shaped partition rib on an upper surface of the body, and a ring-shaped distribution unit on a lower surface of the body.
    Type: Grant
    Filed: August 19, 2014
    Date of Patent: June 7, 2016
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Soyoung Lee, Suho Lee, Chang-Yun Lee, Ik Soo Kim, Juhyun Lee, Jongwon Hong
  • Patent number: 9245716
    Abstract: An inner electrode of a showerhead electrode assembly useful for plasma etching includes features providing improved positioning accuracy and reduced warping, which leads to enhanced uniformity of plasma processing rate. The assembly can include a thermal gasket set and fasteners such as bolts or cam locks located on a radius of ¼ to ½ the radius of the inner electrode. A method of assembling the inner electrode and gasket set to a supporting member is also provided.
    Type: Grant
    Filed: October 13, 2010
    Date of Patent: January 26, 2016
    Assignee: LAM RESEARCH CORPORATION
    Inventors: Anthony de la Llera, Pratik Mankidy
  • Patent number: 9240307
    Abstract: Disclosed is a plasma processing apparatus including a mounting table within a processing container. The mounting table includes a lower electrode. A shower head constituting an upper electrode is provided above the mounting table. A gas inlet tube is provided above the shower head. The shower head includes a plurality of downwardly opened gas ejection holes, and first and second separate gas diffusion chambers on the gas ejection holes. The first gas diffusion chamber extends along a central axis that passes through a center of the mounting table. The second gas diffusion chamber extends circumferentially around the first gas diffusion chamber. The gas inlet tube includes a cylindrical first tube wall and a cylindrical second tube wall provided outside the first tube wall, and defines a first gas inlet path inside the first tube wall, and a second gas inlet path between the first and second tube walls.
    Type: Grant
    Filed: January 23, 2014
    Date of Patent: January 19, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Norihiko Amikura, Risako Miyoshi
  • Patent number: 9208998
    Abstract: A tandem processing-zones chamber having plasma isolation and frequency isolation is provided. At least two RF frequencies are fed from the cathode for each processing zones, where one frequency is about ten times higher than the other, so as to provide decoupled reactive ion etch capability. The chamber body is ground all around and in-between the two processing zones. The use of frequency isolation enables feed of multiple RF frequencies from the cathode, without having crosstalk and beat. A plasma confinement ring is also used to prevent plasma crosstalk. A grounded common evacuation path is connected to a single vacuum pump.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: December 8, 2015
    Assignee: ADVANCED MICRO-FABRICATION EQUIPMENT INC, SHANGHAI
    Inventors: Gerald Yin, Tuqiang Ni, Jinyuan Chen, Xueyu Qian
  • Patent number: 9121097
    Abstract: Apparatuses and techniques for providing for variable radial flow conductance within a semiconductor processing showerhead are provided. In some cases, the radial flow conductance may be varied dynamically during use. In some cases, the radial flow conductance may be fixed but may vary as a function of radial distance from the showerhead centerline. Both single plenum and dual plenum showerheads are discussed.
    Type: Grant
    Filed: September 28, 2012
    Date of Patent: September 1, 2015
    Assignee: Novellus Systems, Inc.
    Inventors: Jonathan D. Mohn, Shawn M. Hamilton, Harald te Nijenhuis, Jeffrey E. Lorelli, Kevin Madrigal
  • Patent number: 9105438
    Abstract: Applicants have found that energetic neutral particles created by a charged exchange interaction between high energy ions and neutral gas molecules reach the sample in a ion beam system using a plasma source. The energetic neutral create secondary electrons away from the beam impact point. Methods to solve the problem include differentially pumped chambers below the plasma source to reduce the opportunity for the ions to interact with gas.
    Type: Grant
    Filed: May 10, 2013
    Date of Patent: August 11, 2015
    Assignee: FEI COMPANY
    Inventors: Tom Miller, Sean Kellogg, Shouyin Zhang, Mostafa Maazouz, Anthony Graupera