With Gas Inlet Structure (e.g., Inlet Nozzle, Gas Distributor) Patents (Class 156/345.33)
  • Patent number: 8163088
    Abstract: To densify thin porous substrates (1) by chemical vapor infiltration, the invention proposes using loading tooling (10) comprising a tubular duct (10) disposed between first and second plates (12, 13) and around which the thin substrates for densification are disposed radially. The tooling as loaded in this way is then placed inside a reaction chamber (20) in an infiltration oven having a reactive gas admission inlet (21) connected to the tubular duct (11) to enable a reactive gas to be admitted into the duct which distributes the gas along the main faces on the substrates (1) in a flow direction that is essentially radial. The reactive gas can also flow in the opposite direction, i.e. it can be admitted into the tooling (10) from its outer envelope (16) and can be removed via the duct (11).
    Type: Grant
    Filed: February 16, 2006
    Date of Patent: April 24, 2012
    Assignee: Snecma Propulsion Solide
    Inventors: Franck Lamouroux, Sébastien Bertrand, Stéphane Goujard, Alain Caillaud, Francis Bagilet, Stéphane Mazereau
  • Patent number: 8161906
    Abstract: An electrode assembly for a plasma reaction chamber used in semiconductor substrate processing. The assembly includes an upper showerhead electrode which includes an inner electrode mechanically attached to a backing plate by a clamp ring and an outer electrode attached to the backing plate by a series of spaced apart cam locks. A guard ring surrounds the backing plate and is movable to positions at which openings in the guard ring align with openings in the backing plate so that the cam locks can be rotated with a tool to release cam pins extending upward from the upper face of the outer electrode. To compensate for differential thermal expansion, the clamp ring can include expansion joins at spaced locations which allow the clamp ring to absorb thermal stresses.
    Type: Grant
    Filed: July 7, 2008
    Date of Patent: April 24, 2012
    Assignee: Lam Research Corporation
    Inventors: Babak Kadkhodayan, Rajinder Dhindsa, Anthony de la Llera, Michael C. Kellogg
  • Publication number: 20120091095
    Abstract: In-situ low pressure chamber cleans and gas nozzle apparatus for plasma processing systems employing in-situ deposited chamber coatings. Certain chamber clean embodiments for conductor etch applications include an NF3-based plasma clean performed at pressures below 30 mT to remove in-situ deposited SiOx coatings from interior surfaces of a gas nozzle hole. Embodiments include a gas nozzle with bottom holes dimensioned sufficiently small to reduce or prevent the in-situ deposited chamber coatings from building up a SiOx deposits on interior surfaces of a nozzle hole.
    Type: Application
    Filed: June 30, 2011
    Publication date: April 19, 2012
    Applicant: Applied Materials, Inc.
    Inventors: Xikun WANG, Andrew NGUYEN, Changhun LEE, Xiaoming HE, Meihua SHEN
  • Publication number: 20120085366
    Abstract: Provided is a plasma processing method capable of removing a Ti-series deposit from the surface of a processing chamber of a plasma processing apparatus without production of a foreign matter such as a boron oxide. The plasma processing method includes carbon-series deposition discharge which succeeds product etching during which a sample containing a Ti material is processed, and during which a carbon-series film is deposited on a Ti reaction by-product deposited on the surface of the processing chamber, and chlorine-series discharge which succeeds the carbon-series deposition discharge and during which the carbon-series film and Ti that are deposited on the surface of the processing chamber are removed.
    Type: Application
    Filed: January 19, 2011
    Publication date: April 12, 2012
    Inventor: Kousa HIROTA
  • Publication number: 20120086929
    Abstract: A lithographic projection apparatus includes a laser cleaning device. The laser cleaning device is constructed and arranged to clean a surface. The laser cleaning device includes a laser source constructed and arranged to generate radiation, and an optical element constructed and arranged to focus the radiation in a focal point in order to generate a cleaning plasma in a background gas above the surface. The laser cleaning device is further provided with a gas supply constructed and arranged to generate a jet of protection gas at a location near the plasma.
    Type: Application
    Filed: May 20, 2010
    Publication date: April 12, 2012
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Nicolaas Arnoldus Lammers, Luigi Scaccabarozzi
  • Patent number: 8152924
    Abstract: The invention relates to a device for depositing at least one layer on a substrate by means of a process gas which is introduced through a flow channel (4), extending in a vertical direction, of a gas inlet member (3), fixed in place with respect to a reactor housing, into a process chamber (1), extending in a horizontal direction, wherein the process gas leaves a gas outlet opening of a portion of the gas inlet member (3), protruding into the center of the rotationally symmetrical process chamber (1), and flows in a radially outward direction via a base (8?) of the process chamber (1), extending in a horizontal direction and rotating about the center, on which base the substrate lies. In order to improve the gas flow directly above the base of the process chamber, it is proposed that the front (3?) of the gas inlet member (3) protrudes into a pot-like recess (23) and an end portion (6?) of a gas deflecting face (6) is flush with the base (8?).
    Type: Grant
    Filed: November 11, 2006
    Date of Patent: April 10, 2012
    Assignee: Aixtron Inc.
    Inventors: Martin Dauelsberg, Johannes Käppeler, Conor Martin
  • Patent number: 8152923
    Abstract: An MOCVD reactor such as a rotating disc reactor (10) is equipped with a gas injector head having diffusers (129) disposed between adjacent gas inlets. The diffusers taper in the downstream direction. The injector head desirably has inlets (117) for a first gas such as a metal alkyl disposed in radial rows which terminate radially inward from the reactor wall to minimize deposition of the reactants on the reactor wall. The injector head desirably also has inlets (125) for a second gas such as ammonia arranged in a field between the rows of first gas inlets, and additionally has a center inlet (135) for the second gas coaxial with the axis of rotation.
    Type: Grant
    Filed: January 11, 2008
    Date of Patent: April 10, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Bojan Mitrovic, Alex Gurary, Eric A. Armour
  • Patent number: 8152922
    Abstract: A system and method for mixing a plurality of gases for an atomic layer deposition (ALD) reactor. The mixer is configured to mix the plurality of gases while minimizing the potential for re-circulation within the mixer. The mixer is further configured to maintain the flow velocity of the plurality of gases as the gases pass through the mixer.
    Type: Grant
    Filed: August 30, 2004
    Date of Patent: April 10, 2012
    Assignee: ASM America, Inc.
    Inventors: Ryan M. Schmidt, Mohith Verghese
  • Patent number: 8152954
    Abstract: The present invention relates generally to plasma processing and, more particularly, to plasma processing chambers and electrode assemblies used therein. According to one embodiment of the present invention, an electrode assembly is provided comprising a thermal control plate, a silicon-based showerhead electrode, and securing hardware, wherein the silicon-based showerhead electrode comprises a plurality of partial recesses formed in the backside of the silicon-based showerhead electrode and backside inserts positioned in the partial recesses. The thermal control plate comprises securing hardware passages configured to permit securing hardware to access the backside inserts.
    Type: Grant
    Filed: October 12, 2007
    Date of Patent: April 10, 2012
    Assignee: Lam Research Corporation
    Inventors: Greg Bettencourt, Raj Dhindsa, George Diercks, Randall A. Hardin, Jon Keihl, Duane Lytle, Alexei Marakhtanov, Roger Patrick, John Pegg, Shannon Spencer
  • Publication number: 20120073753
    Abstract: An electrode plate for a plasma etching is formed as a disc shape having a predetermined thickness, a plurality of gas holes penetrating a surface of the electrode plate perpendicularly to the surface are provided on different circumferences of a plurality of concentric circles, the electrode plate is divided in a radial direction of the electrode plate into two or more regions, types of gas holes provided in the two or more regions are different from each other by region.
    Type: Application
    Filed: September 26, 2011
    Publication date: March 29, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoyuki SATOH, Nobuyuki NAGAYAMA, Keiichi NAGAKUBO
  • Publication number: 20120073752
    Abstract: Methods and systems are provided for retrofitting wafer etching systems. The methods and systems use an adapter ring to retrofit wafer etching systems designed for use with multiple piece electrodes such that single piece electrodes can be used in the etching systems. A portion of the adapter ring is disposed in a receptacle formed in a thermal coupled plate in the wafer etching system. Another portion of the adapter ring is positioned in a channel formed in an upper electrode.
    Type: Application
    Filed: September 20, 2011
    Publication date: March 29, 2012
    Applicant: MEMC ELECTRONIC MATERIALS, INC.
    Inventor: Terry Parde
  • Publication number: 20120073672
    Abstract: A system for and method of delivering pulses of a desired mass of gas to a tool is described.
    Type: Application
    Filed: September 29, 2010
    Publication date: March 29, 2012
    Inventor: Junhua Ding
  • Publication number: 20120071003
    Abstract: Disclosed is a technology in which a nozzle part is mounted in a vacuum chamber and a silicon substrate is held to face a discharge hole of the nozzle part. For example, ClF3 gas and Ar gas are supplied from the nozzle part and the mixed gas is discharged from the nozzle part under a vacuum atmosphere. By doing this, the mixed gas is adiabatically expanded and the Ar atoms or ClF3 molecules are combined, which become a gas cluster. The gas cluster is irradiated to the surface of the silicon substrate without being ionized and, as a result, the surface of the silicon surface becomes a porous state. Then, lithium is grown on the surface of the silicon substrate in a separate vacuum chamber 41 by sputtering without breaking the vacuum.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 22, 2012
    Inventors: Kazuya Dobashi, Takashi Fuse, Satohiko Hoshino, Takehiko Senoo, Yu Yoshino
  • Publication number: 20120067845
    Abstract: There is provided a plasma processing apparatus capable of stably generating plasma by suppressing oscillation of a plasma potential, and capable of preventing contamination caused by sputtering a facing electrode made of metal. A high frequency bias power is applied to an electrode within a mounting table for mounting a target object thereon. An extended protrusion 60 is formed at an inner peripheral surface of a cover member 27. The extended protrusion 60 is formed toward a plasma generation space S and serves as a facing electrode facing an electrode 7 within a mounting table 5 with the plasma generation space S therebetween. A ratio of a surface area of the facing electrode with respect to that of an electrode for bias (facing electrode surface area/bias electrode area) is in a range of from about 1 to about 5.
    Type: Application
    Filed: September 15, 2011
    Publication date: March 22, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Taichi Monden, Junichi Kitagawa, Jun Yamashita, Hideo Nakamura
  • Patent number: 8137467
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: March 20, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8137463
    Abstract: The present invention generally provides apparatus and method for processing a substrate. Particularly, the present invention provides apparatus and methods to obtain a desired distribution of a process gas. One embodiment of the present invention provides an apparatus for processing a substrate comprising an injection nozzle having a first fluid path including a first inlet configured to receive a fluid input, and a plurality of first injection ports connected with the first inlet, wherein the plurality of first injection ports are configured to direct a fluid from the first inlet towards a first region of a process volume, and a second fluid path including a second inlet configured to receive a fluid input, and a plurality of second injection ports connected with the second inlet, wherein the second injection ports are configured to direct a fluid from the second inlet towards a second region of the process volume.
    Type: Grant
    Filed: December 19, 2007
    Date of Patent: March 20, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Wei Liu, Johanes S. Swenberg, Hanh D. Nguyen, Son T. Nguyen, Roger Curtis, Philip A. Bottini
  • Patent number: 8133323
    Abstract: A film forming apparatus is provided with a chamber which defines a processing space for performing a film forming process to a substrate a stage arranged in the chamber for mounting the substrate thereon; a substrate heating unit arranged on the stage for heating the substrate; a shower head which is arranged to face the stage and has many gas injecting holes; a gas supply unit for supplying cooling unit arranged above the shower head for cooling the shower head; and a shower head heating unit arranged above the cooling unit for heating the shower head through the cooling unit.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Kakegawa
  • Patent number: 8133349
    Abstract: An inductively coupled plasma processing apparatus includes a processing chamber in which a semiconductor substrate is processed, a substrate support, a dielectric window forming a wall of the chamber, an antenna operable to generate and maintain a plasma in the processing chamber, and a showerhead plate of dielectric material adjacent the dielectric window. The showerhead plate includes gas holes in fluid communication with a plenum below the dielectric window, the plenum having a gas volume of no greater than 500 cm3. The gas holes extend between the plenum and a plasma exposed surface of the showerhead plate and the gas holes have an aspect ratio of at least 2. A gas delivery system is operable to supply an etching gas and a deposition gas into the processing chamber through the showerhead plate while the semiconductor substrate is supported on the substrate support.
    Type: Grant
    Filed: November 3, 2010
    Date of Patent: March 13, 2012
    Assignee: Lam Research Corporation
    Inventor: Theo Panagopoulos
  • Patent number: 8133322
    Abstract: A semiconductor fabrication reactor according to the invention comprises a rotatable susceptor mounted to the top of a reactor chamber. One or more wafers are mounted to a surface of the susceptor and the rotation of the susceptor causes the wafers to rotate within the chamber. A heater heats the susceptor and a chamber gas inlet allows semiconductor growth gasses into the reactor chamber to deposit semiconductor material on said wafers. A chamber gas outlet is included to allow growth gasses to exit the chamber. In a preferred embodiment, the inlet is at or below the level of said wafers and the outlet is preferably at or above the level of the wafers. A semiconductor fabrication system according to the invention comprises a source of gasses for forming epitaxial layers on wafers and a source of gasses for dopants in said epitaxial layers.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: March 13, 2012
    Assignee: Cree, Inc.
    Inventors: Shuji Nakamura, Steven DenBaars, Max Batres, Michael Coulter
  • Patent number: 8123902
    Abstract: A method and apparatus for providing flow into a processing chamber are provided. In one embodiment, a vacuum processing chamber is provided that includes a chamber body having an interior volume, a substrate support disposed in the interior volume and a gas distribution assembly having an asymmetrical distribution of gas injection ports. In another embodiment, a method for vacuum processing a substrate is provided that includes disposing a substrate on a substrate support within in a processing chamber, flowing process gas into laterally into a space defined above a gas distribution plate positioned in the processing chamber over the substrate, and processing the substrate in the presence of the processing gas.
    Type: Grant
    Filed: March 21, 2007
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Paul Brillhart, Daniel J. Hoffman, James D. Carducci, Xiaoping Zhou, Matthew L. Miller
  • Patent number: 8123860
    Abstract: An apparatus for cyclical depositing of thin films on semiconductor substrates, comprising a process chamber having a gas distribution system with separate paths for process gases and an exhaust system synchronized with operation of valves dosing the process gases into a reaction region of the chamber.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: February 28, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Randhir P. S. Thakur, Alfred W. Mak, Ming Xi, Walter Benjamin Glenn, Ahmad A. Khan, Ayad A. Al-Shaikh, Avgerinos V. Gelatos, Salvador P. Umotoy
  • Patent number: 8118935
    Abstract: A thin film manufacturing system, wherein a stage for placing a substrate thereon is disposed within a vacuum reactor and a gas head for supplying a film forming gas to a central area on a top face of the vacuum reactor is arranged so that the gas head is opposed to the stage. A cylindrical sleeve member is disposed and comes in close contact with a side wall of the stage to surround a periphery of the stage. The height of the stage can be established at the position where the volume of a second space formed below the stage and connected to a vacuum discharge means is larger than that of a first space formed above the stage, in such a manner that an exhaust gas is isotropically discharged from the first space without causing any convection current therein through the interstice between the sleeve member and an inner wall surface constituting the reactor.
    Type: Grant
    Filed: May 19, 2005
    Date of Patent: February 21, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Masaki Uematsu, Koukou Suu
  • Publication number: 20120031560
    Abstract: A plasma processing apparatus includes: an evacuable chamber 11 for performing therein a plasma process on a substrate G; a susceptor 12 for mounting thereon the substrate G within the chamber 11; a dielectric window 30 provided to face the susceptor 12 via a processing space S; RF antennas 30a and 30b disposed in a space adjacent to the processing space S with the dielectric window 30; a gas supply unit 37 for supplying a processing gas into the processing space S; a high frequency power supply for applying a high frequency RFH to the RF antennas 30a and 30b, and generating plasma of the processing gas within the processing space S by an inductive coupling; and a protrusion 34 made of a dielectric material and provided on a bottom surface of the dielectric window 30 corresponding to an inter-position of the RF antennas 30a and 30b.
    Type: Application
    Filed: August 2, 2011
    Publication date: February 9, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Chishio Koshimizu
  • Publication number: 20120031559
    Abstract: A semiconductor wafer processing apparatus includes a first electrode exposed to a first plasma generation volume, a second electrode exposed to a second plasma generation volume, and a gas distribution unit disposed between the first and second plasma generation volumes. The first electrode is defined to transmit radiofrequency (RF) power to the first plasma generation volume, and distribute a first plasma process gas to the first plasma generation volume. The second electrode is defined to transmit RF power to the second plasma generation volume, and hold a substrate in exposure to the second plasma generation volume. The gas distribution unit includes an arrangement of through-holes defined to fluidly connect the first plasma generation volume to the second plasma generation volume. The gas distribution unit also includes an arrangement of gas supply ports defined to distribute a second plasma process gas to the second plasma generation volume.
    Type: Application
    Filed: August 4, 2010
    Publication date: February 9, 2012
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhatnov, Andrew D. Bailey, III
  • Publication number: 20120031873
    Abstract: In a method for manufacturing a printed circuit board, a substrate, including a number of plated through holes (PTHs) is provided. Each of the PTHs has an electrically conductive layer plated on its inner wall and includes an electrically connecting portion and a stub. A protective layer is formed on a surface of the substrate adjacent to the stub. An etching device, including an upper plate and a number of spray tubes corresponding to the PTHs, is provided. Each of the spray tubes includes a protruding portion beyond the upper plate. The substrate is arranged in such a manner that the protective layer is in contact with the upper plate and the protruding portions are received in the stubs. After that, the protruding portions spray an etchant to etch and remove the electrically conductive layer of the stubs, and the protective layer is removed.
    Type: Application
    Filed: April 15, 2011
    Publication date: February 9, 2012
    Applicants: FOXCONN ADVANCED TECHNOLOGY INC., Hong Heng Sheng Electronical Technology (HuaiAn)Co .,Ltd
    Inventor: YAO-WEN BAI
  • Patent number: 8110068
    Abstract: Systems, system components, and methods for plasma stripping are provided. In an embodiment, a gas flow distribution receptacle may have a rounded section that includes an inner surface defining a reception cavity, an outer surface forming an enclosed end, and a centerpoint on the outer surface having a longitudinal axis extending therethrough and through the reception cavity. First and second rings of openings provide flow communication with the plasma chamber. The second ring of openings are disposed between the first ring and the centerpoint, and each opening of the second ring of openings extends between the inner and outer surfaces at a second angle relative to the longitudinal axis that is less than the first angle and has a diameter that is substantially identical to a diameter of an adjacent opening and smaller than the diameters of an opening of the first ring of openings.
    Type: Grant
    Filed: March 20, 2008
    Date of Patent: February 7, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Huatan Qiu, Woody Chung, Anirban Guha, David Cheung
  • Patent number: 8100081
    Abstract: The present invention provides methods and apparatuses for removing unwanted film from the edge area of substrate using remotely-generated plasmas. Activated plasma species are directed to the edge of the substrate to contact and remove the unwanted film, while intrusion of the activated species to areas above the active circuit region (where the film is desired) is suppressed. In certain embodiments, intrusion of the activated species is suppressed by the use of a purge gas and/or the use of materials that promote recombination of plasma species. In particular embodiments, atomic oxygen is used to remove ashable films from the edge of semiconductor wafers.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: January 24, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Jon Henri, Henner Meinhold, Christopher Gage, Dan Doble
  • Publication number: 20120012253
    Abstract: Provided is a plasma shield for an electrode capable of preventing generation of particles by providing plasma shields at inner and outer diameter sides of an adhesive formed of elastomer for attaching a gas injection plate to an injection plate support member to protect the adhesive. The electrode includes a gas injection plate having a porous plate structure in which a plurality of gas injection holes are formed, an injection plate support member configured to maintain the gas injection plate attached to one side of a plasma chamber, and an adhesive formed of elastomer and attaching the injection plate support member to an upper surface of the gas injection plate.
    Type: Application
    Filed: July 13, 2011
    Publication date: January 19, 2012
    Inventors: Wayne Robert Simpson, Soon Seok Han
  • Patent number: 8097120
    Abstract: Broadly speaking, the embodiments of the present invention provides an improved plasma processing mechanism, apparatus, and method to increase the process uniformity at the very edge of the substrate. In one embodiment, a plasma processing chamber comprises a substrate support configured to receive a substrate, and a plurality of tuning gas injection holes surrounding the edge of the substrate, wherein the tuning gas injection holes supplies a tuning gas to the edge of the substrate during plasma processing of the substrate.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: January 17, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Mukund Srinivasan
  • Patent number: 8097083
    Abstract: An operating method for a large dimension plasma enhanced atomic layer deposition cavity and an apparatus thereof are provided. The present invention reduces the time needed for filling the manufacturing gas into the large volume manufacturing cavity. Therefore, the plasma enhanced atomic layer deposition apparatus can switch the precursors rapidly to increase the thin film deposition rate, reduce the manufacturing gas consumption and lower the manufacturing cost.
    Type: Grant
    Filed: October 6, 2005
    Date of Patent: January 17, 2012
    Assignee: China Star Optoelectronics International (HK) Limited
    Inventors: Hung-Wen Wei, Hung-Che Ting
  • Patent number: 8092599
    Abstract: A system and method for uniform deposition of material layers on wafers in a rotating disk chemical vapor deposition reaction system is provided, wherein one or more substrates are rotated on a carrier about an axis while maintaining surfaces of the one or more substrates substantially perpendicular to the axis of rotation and facing in an upstream direction along the axis of rotation. During rotating a first gas is discharged in the downstream direction towards the one or more substrates from a first set of gas inlets. A second gas is discharged in the downstream direction towards the one or more substrates from at least one movable gas injector, and the at least one movable gas inlet is moved with a component of motion in a radial direction towards or away from the axis of rotation.
    Type: Grant
    Filed: July 10, 2007
    Date of Patent: January 10, 2012
    Assignee: Veeco Instruments Inc.
    Inventors: Piero Sferlazzo, Alexander I. Gurary, Eric A. Armour, William E. Quinn, Steve Ting
  • Patent number: 8092640
    Abstract: A plasma processing apparatus of this invention includes a sealable chamber, a gas supply source of reactive material gas, placed outside the chamber, a gas introduction pipe connected to the gas supply source, for introducing the material gas into the chamber, and a plurality of sets of cathode-anode bodies for forming a plurality of discharge spaces which perform plasma discharge of the material gas in the chamber. Herein, the gas introduction pipe includes a gas branch section arranged in the chamber, a main pipe for connecting the gas supply source to the gas branch section, and a plurality of branch pipes connected from the main pipe to each of the discharge spaces via the gas branch section. The branch pipes are configured so that conductances thereof are substantially equivalent to each other.
    Type: Grant
    Filed: January 10, 2006
    Date of Patent: January 10, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Katsushi Kishimoto, Yusuke Fukuoka
  • Patent number: 8092598
    Abstract: Disclosed herein is a thin film deposition apparatus having a reaction chamber for forming a thin film on a plurality of substrates rested on a susceptor. The apparatus comprises: a gas supply means for supplying a plurality of gases to the inside of the reaction chamber from the outside, the gases including a reaction gas; a gas distribution means for distributing and spraying the gases supplied from the gas supply means so as to conform to the purpose of a process; a gas retaining means having a plurality of reaction cells for partitionally accommodating and retaining the respective gases distributed from the gas distribution means; a rotation driving means for rotating the gas retaining means such that the gases retained in the respective reaction cells are exposed to the substrates in sequence; and a gas exhaust means for pumping the gases retained by the gas retaining means to the outside of the reaction chamber.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: January 10, 2012
    Assignee: Fusionaid Co., Ltd.
    Inventors: Yong-Ku Baek, Seung-Hoon Lee
  • Publication number: 20120000607
    Abstract: A mass flow control system according to an embodiment includes a first mass flow controller that receives a corrosive gas having a corrosive effect on a predetermined material and has corrosion resistance to the corrosive gas, and a second mass flow controller that receives a non-corrosive gas having no corrosive effect on the predetermined material and is configured using the predetermined material. The mass flow control system further includes a plurality of first gas pipes that respectively supply a plurality of kinds of corrosive gases to the first mass flow controller, and a plurality of second gas pipes that respectively supply a plurality of kinds of non-corrosive gases to the second mass flow controller and are configured using the predetermined material.
    Type: Application
    Filed: June 30, 2011
    Publication date: January 5, 2012
    Applicant: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Ito, Hideo Eto
  • Patent number: 8088225
    Abstract: A substrate support system comprises a substrate holder having a plurality of passages extending between top and bottom surfaces thereof. The substrate holder supports a peripheral portion of the substrate backside so that a thin gap is formed between the substrate and the substrate holder. A hollow support member provides support to an underside of, and is configured to convey gas upward into one or more of the passages of, the substrate holder. The upwardly conveyed gas flows into the gap between the substrate and the substrate holder. Depending upon the embodiment, the gas then flows either outward and upward around the substrate edge (to inhibit backside deposition of reactant gases above the substrate) or downward through passages of the substrate holder, if any, that do not lead back into the hollow support member (to inhibit autodoping by sweeping out-diffused dopant atoms away from the substrate backside).
    Type: Grant
    Filed: December 18, 2009
    Date of Patent: January 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Matt G. Goodman, Jereon Stoutyesdijk, Ravinder Aggarwal, Mike Halpin, Tony Keeton, Mark Hawkins, Lee Haen, Armand Ferro, Paul Brabant, Robert Vyne, Gregory M. Bartlett, Joseph P. Italiano, Bob Haro
  • Patent number: 8087379
    Abstract: A method of localized plasma processing improves processing speed and reduces work piece damage compared to charged particle beam deposition and etching. In one embodiment, a plasma jet exits a plasma generating chamber and activates a reactive gas. A jet of plasma and reactive gas impacts and processes the work piece. Because the plasma and the ions in the reactive gas can have low kinetic energy, there can be little or no surface damage. This is particularly useful for deposition processes. When it is desired to etch material, the reactive ions can be more energetic to enhance etching.
    Type: Grant
    Filed: August 24, 2005
    Date of Patent: January 3, 2012
    Assignee: FEI Company
    Inventors: Clive D. Chandler, Noel Smith
  • Patent number: 8088248
    Abstract: A gas switching system for a gas distribution system for supplying different gas compositions to a chamber, such as a plasma processing chamber of a plasma processing apparatus, is provided. The chamber can include multiple zones, and the gas switching section can supply different gases to the multiple zones. The switching section can switch the flows of one or more gases, such that one gas can be supplied to the chamber while another gas can be supplied to a by-pass line, and then switch the gas flows.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: January 3, 2012
    Assignee: Lam Research Corporation
    Inventor: Dean J. Larson
  • Patent number: 8088223
    Abstract: A substrate processing system has computer controlled injectors. The computer is configured to adjust a plurality of injectors, such as during deposition of a graded layer, between depositions of two different layers, or between deposition and chamber clean steps.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: January 3, 2012
    Assignee: ASM America, Inc.
    Inventors: Michael A. Todd, Keith D. Weeks, Paul T. Jacobson
  • Patent number: 8083853
    Abstract: Embodiments of a gas diffuser plate for distributing gas in a processing chamber are provided. The gas distribution plate includes a diffuser plate having an upstream side and a downstream side, and a plurality of gas passages passing between the upstream and downstream sides of the diffuser plate. The gas passages include hollow cathode cavities at the downstream side to enhance plasma ionization. The depths, the diameters, the surface area and density of hollow cathode cavities of the gas passages that extend to the downstream end can be gradually increased from the center to the edge of the diffuser plate to improve the film thickness and property uniformity across the substrate. The increasing diameters, depths and surface areas from the center to the edge of the diffuser plate can be created by bending the diffuser plate toward downstream side, followed by machining out the convex downstream side. Bending the diffuser plate can be accomplished by a thermal process or a vacuum process.
    Type: Grant
    Filed: July 12, 2004
    Date of Patent: December 27, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Soo Young Choi, John M. White, Qunhua Wang, Li Hou, Ki Woon Kim, Shinichi Kurita, Tae Kyung Won, Suhail Anwar, Beom Soo Park, Robin L. Tiner
  • Patent number: 8083891
    Abstract: In a plasma processing apparatus that executes plasma processing on a semiconductor wafer placed inside a processing chamber by generating plasma with a processing gas supplied through a gas supply hole at an upper electrode (shower head) disposed inside the processing chamber, an interchangeable insert member is inserted at a gas passing hole at a gas supply unit to prevent entry of charged particles in the plasma generated in the processing chamber into the gas supply unit. This structure makes it possible to fully prevent the entry of charged particles in the plasma generated inside the processing chamber into the gas supply unit.
    Type: Grant
    Filed: September 30, 2010
    Date of Patent: December 27, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Tetsuji Sato
  • Publication number: 20110308733
    Abstract: There is provided a plasma processing apparatus capable of performing a plasma process while surely supplying a gas. The plasma processing apparatus includes an outer gas supply member having gas supply openings for supplying a plasma processing gas and a jacket configured to support the outer gas supply member within a processing chamber and serving as a gas supply member supporting device. The jacket includes three supporting members installed so as to connect the outer gas supply member and a sidewall and arranged at a certain distance in a direction in which the outer gas supply member extends and mounts fixed to the sidewall so as to mount the supporting members therein. The supporting members include a first supporting member fixed to a first mount and a second supporting member movably supported in a second mount.
    Type: Application
    Filed: May 25, 2011
    Publication date: December 22, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Mihara, Kenji Sudou, Kazuo Murakami, Satoshi Furukawa
  • Publication number: 20110312188
    Abstract: A processing apparatus for processing objects, includes: a processing container structure having a bottom opening and including a processing container having a processing space for housing the objects, the container having a nozzle housing area on one side of the processing space and a slit-like exhaust port on the opposite side of the processing space from the nozzle housing area; a lid for closing the bottom opening of the processing container structure; a support structure for supporting the objects and which can be inserted into and withdrawn from the processing container structure; a gas introduction means including a gas nozzle housed in the nozzle housing area; an exhaust means including a plurality of exhaust systems for exhausting the atmosphere in the processing container structure; a heating means for heating the objects; and a control means for controlling the gas introduction means, the exhaust means and the heating means.
    Type: Application
    Filed: June 16, 2011
    Publication date: December 22, 2011
    Applicant: Tokyo Electron Limited
    Inventors: Yu WAMURA, Yuichiro Morozumi, Izumi Sato, Shinji Asari
  • Publication number: 20110308734
    Abstract: An apparatus for large area plasma processing according to the invention comprises at least one plane antenna (A) having a plurality of interconnected elementary resonant meshes (M1, M2, M3), each mesh (M1, M2, M3) comprising at least two conductive legs (1, 2) and at least two capacitors (5, 6). A radiofrequency generator excites said antenna (A) to at least one of its resonant frequencies. A process chamber is in proximity of said antenna (A). Said antenna (A) produces an electromagnetic field pattern with a very well defined spatial structure, which allows a great control on the excitation of the plasma.
    Type: Application
    Filed: February 10, 2009
    Publication date: December 22, 2011
    Applicant: HELYSSEN SARL
    Inventor: Philippe Guittienne
  • Patent number: 8080107
    Abstract: A showerhead electrode assembly of a plasma processing apparatus includes a thermal control plate attached to a showerhead electrode, and a top plate attached to the thermal control plate. At least one thermal bridge is provided between opposed surfaces of the thermal control plate and the top plate to allow electrical and thermal conduction between the thermal control plate and top plate. A lubricating material between the thermal bridge and the top plate minimizes galling of opposed metal surfaces due to differential thermal expansion between the top plate and thermal control plate. A heater supported by the thermal control plate cooperates with the temperature controlled top plate to maintain the showerhead electrode at a desired temperature.
    Type: Grant
    Filed: November 24, 2009
    Date of Patent: December 20, 2011
    Assignee: Lam Research Corporation
    Inventors: William S. Kennedy, David E. Jacob
  • Patent number: 8080760
    Abstract: A plasma processing chamber includes a cantilever assembly configured to neutralize atmospheric load. The chamber includes a wall surrounding an interior region and having an opening formed therein. A cantilever assembly includes a substrate support for supporting a substrate within the chamber. The cantilever assembly extends through the opening such that a portion is located outside the chamber. The chamber includes an actuation mechanism operative to move the cantilever assembly relative to the wall.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: December 20, 2011
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric H. Lenz, Andy W. DeSepte, Lumin Li
  • Publication number: 20110306212
    Abstract: Embodiments described herein relate to a substrate processing apparatus includes a reaction tube, a processing chamber provided inside the reaction tube to process a substrate therein, an induction target provided inside the reaction tube to surround the processing chamber and configured to heat the substrate, a heat insulator provided inside the reaction tube to surround the induction target, an induction target provided outside the reaction tube to inductively heat at least the induction target, a first gas supply unit for supplying a first gas into the processing chamber, and a second gas supply unit for supplying a second gas to a first gap provided between the induction target and the heat insulator.
    Type: Application
    Filed: June 10, 2011
    Publication date: December 15, 2011
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Akihiro SATO, Akinori TANAKA, Takeshi ITOH, Masanao FUKUDA, Kazuhiro MORIMITSU
  • Publication number: 20110305847
    Abstract: The invention relates to a linear plasma system. The linear plasma system includes a number of troughs of an electrode alternating with a number of peaks of the electrode forming a sawtooth shape, a reactive gas feed, a precursor gas feed, and a power source. The reactive gas feed is disposed on the electrode and configured to continuously release a reactive gas into an array of holes located at the trough apex. The precursor gas feed is disposed on the electrode and configured to continuously release a precursor gas into an array of holes located at the peak apex. The power source is configured to apply radio frequency power to the electrode to simultaneously interact with the reactive gas mixed with the precursor gas to generate plasma, which is used to create a product that is deposited on a substrate.
    Type: Application
    Filed: June 15, 2011
    Publication date: December 15, 2011
    Applicant: BELIGHT TECHNOLOGY CORPORATION, LIMITED
    Inventor: Quanyuan T. Shang
  • Publication number: 20110303362
    Abstract: There is provided a plasma processing apparatus for performing a plasma process on a substrate mounted on a mounting table in a processing chamber by generating inductively coupled plasma within the processing chamber by applying a high frequency power to a high frequency antenna. The apparatus includes a multiple number of gas nozzles protruding from a sidewall of the processing chamber toward a center of the processing chamber in a space above the mounting table, and each gas nozzle has a gas discharge hole at a leading end of the gas nozzle in a protruding direction and a gas discharge hole at a sidewall of the gas nozzle. Further, the apparatus includes a rotation device configured to rotate each of the gas nozzles on each central axis of the gas nozzles and each central axis is extended in the protruding direction of each of the gas nozzles.
    Type: Application
    Filed: June 14, 2011
    Publication date: December 15, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Masashi SAITO
  • Patent number: 8075731
    Abstract: A gas injection head 200 is provided above a substantial center of a substrate W. Nitrogen gas introduced from a gas feed port 291 is injected from a slit-shaped injection port 293 via an internal buffer space BF. In this way, a radial gas flow substantially isotropic in a horizontal direction while having an injection direction restricted in a vertical direction is generated above the substrate. Thus, dust D, mist M and the like around the substrate are blown off in outward directions and do not adhere to the substrate W. The gas injection head 200 can be made smaller than the diameter of the substrate W and needs to be neither retracted from the substrate surface nor rotated, wherefore an apparatus can be miniaturized.
    Type: Grant
    Filed: October 28, 2008
    Date of Patent: December 13, 2011
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Katsuhiko Miya
  • Patent number: 8075690
    Abstract: An apparatus and method for supporting a substantial center portion of a gas distribution plate is disclosed. At least one support member is capable of engaging and disengaging the diffuser with a mating connection without prohibiting flow of a gas or gases through the diffuser and is designed to provide vertical suspension to a diffuser that is supported at its perimeter, or capable of supporting the diffuser without a perimeter support. In one aspect, the at least one support member is a portion of a gas delivery conduit and in another embodiment is a plurality of support members separated from the gas delivery conduit. The at least one support member is capable of translating vertical lift, or vertical compression to a center area of the diffuser. A method and apparatus for controlling gas flow from the gas delivery conduit to the gas distribution plate is also disclosed.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Ernst Keller, John M. White, Robin L. Tiner, Jiri Kucera, Soo Young Choi, Beom Soo Park, Michael Starr