By Microwave Patents (Class 156/345.36)
  • Patent number: 11462413
    Abstract: Apparatus, systems, and methods for conducting an etch removal process on a workpiece are provided. The method can include generating a plasma from a deposition process gas in a plasma chamber using a plasma source to deposit a passivation layer on certain layers of a high aspect ratio structure. The method can include generating a plasma from an etch process gas in a plasma chamber using a plasma source to remove certain layers from the high aspect ratio structure. The method can include removing silicon nitride layers at a faster etch rate than silicon dioxide layers on the high aspect ratio structure.
    Type: Grant
    Filed: July 16, 2020
    Date of Patent: October 4, 2022
    Assignees: BEIJING E-TOWN SEMICONDUCTOR TECHNOLOGY CO., LTD., MATTSON TECHNOLOGY, INC
    Inventors: Shanyu Wang, Chun Yan, Hua Chung, Michael X. Yang, Tsai Wen Sung, Qi Zhang
  • Patent number: 11443922
    Abstract: A high frequency power supply member for supplying high frequency power includes: an inner conductor that forms a hollow; and an outer conductor arranged to surround the inner conductor, wherein a refrigerant flow path is provided inside a wall surface of at least one of the inner conductor and the outer conductor.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: September 13, 2022
    Assignee: Tokyo Electron Limited
    Inventors: Michishige Saito, Yousuke Nagahata
  • Patent number: 10787741
    Abstract: A system and method for providing intermediate reactive species to a reaction chamber are disclosed. The system includes an intermediate reactive species formation chamber fluidly coupled to the reaction chamber to provide intermediate reactive species to the reaction chamber. A pressure control device can be used to control an operating pressure of the intermediate reactive species formation chamber, and a heater can be used to heat the intermediate reactive species formation chamber to a desired temperature.
    Type: Grant
    Filed: January 2, 2018
    Date of Patent: September 29, 2020
    Assignee: ASM IP Holding B.V.
    Inventors: John Tolle, Eric Hill, Jereld Lee Winkler
  • Patent number: 9548227
    Abstract: A microwave induced plasma decapsulation system and method for decapsulation a packaged semiconductor device applies a microwave induced plasma effluent along with etchant gases electrons, ions and free radicals that are chemically reactive to remove the epoxy molding compound encapsulating the semiconductor device. In one embodiment, the decapsulation system utilizes a microwave generator and a coaxial plasma source. In another embodiment, the decapsulation system utilizes a microwave generator, an electromagnetic surface wave plasma source, and a dielectric plasma discharge tube.
    Type: Grant
    Filed: October 15, 2014
    Date of Patent: January 17, 2017
    Assignee: Nisene Technology Group
    Inventors: Alan M. Wagner, Ravin Krishnan
  • Patent number: 9373551
    Abstract: An apparatus for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing, comprising a plurality of gas injectors for admitting a processing gas into an etching chamber. Each gas injector of the plurality of gas injectors is disposed along a track within the etching chamber and moveable along the track. Further, each gas injector is coupled with a throttling valve or nozzle to permit adjustment of processing gas flow rate. A method for increasing the uniformity in a critical dimension of chemical vapor deposition and etching during substrate processing includes performing a chemical deposition or etch using the plurality of moveable and adjustable gas injectors and measuring the critical dimension uniformity. Adjustments to the location of at least one gas injector or the processing gas flow rate to at least one gas injector are made to increase critical dimension uniformity.
    Type: Grant
    Filed: November 26, 2013
    Date of Patent: June 21, 2016
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Tzung-Shiun Lu, Chun-Lang Chen, Shih-Hao Yang, Jong-Yuh Chang
  • Patent number: 9363854
    Abstract: A cooking apparatus using microwaves may include a microwave generator that generates and outputs a plurality of microwaves to heat an object in a cavity, and a controller that calculates heating efficiency of each of the plurality of microwaves based on the microwaves reflected from the cavity, and then sets heating time of each of the microwaves during a heating period of time according to the calculated heating efficiency.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: June 7, 2016
    Assignee: LG Electronics Inc.
    Inventors: Sung Hun Sim, Jin Yul Hu, Hyun Wook Moon, Heung Sik Choi, Wan Soo Kim
  • Patent number: 9237638
    Abstract: A microwave supply unit 20 of a plasma processing apparatus 11 includes a stub member 51 configured to be extensible from the outer conductor 33 toward the inner conductor 32. The stub member 51 serves as a distance varying device for varying a distance in the radial direction between a part of the outer surface 36 of the inner conductor 32 and a facing member facing the part of the outer surface of the inner conductor 32 in the radial direction, i.e., the cooling plate protrusion 47. The stub member 51 includes a rod-shaped member 52 supported at the outer conductor 33 and configured to be extended in the radial direction; and a screw 53 as a moving distance adjusting member for adjusting a moving distance of the rod-shaped member 52 in the radial direction.
    Type: Grant
    Filed: August 16, 2010
    Date of Patent: January 12, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kiyotaka Ishibashi, Osamu Morita
  • Patent number: 9167633
    Abstract: Devices and methods for RF heating of food, using techniques which allow uniformity and/or controlled non-uniformity.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: October 20, 2015
    Assignee: GOJI LIMITED
    Inventors: Eran Ben-Shmuel, Alexander Bilchinsky, Udi Damari, Omer Einav, Benny Rousso, Shlomo Ben-Haim
  • Patent number: 8967080
    Abstract: A plasma generation chamber of a plasma processing apparatus is closed by a top plate 3. The top plate 3 has recesses 3A on its surface facing the plasma generation chamber and a central recess 3B on an opposite surface. The top plate 3 is coupled to an antenna thereon. If a microwave is supplied to the antenna, the microwave is radiated through slots of the antenna. The microwave is propagated through the top plate 3 such that the microwave has a plane of polarization and the microwave forms a circularly polarized wave as a whole. Here, resonance absorption of the microwave occurs at a side surface of recesses 3A and the microwave is propagated within the recesses 3A in a single mode. Strong plasma can be generated within each of the recesses 3A, so that a stable plasma mode can be generated in the top plate 3.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Kiyotaka Ishibashi, Toshihisa Nozawa
  • Publication number: 20150053346
    Abstract: A plasma processing apparatus includes a flow splitter for dividing a common gas into two common gas streams of common gas branch lines. A central introduction portion connected to one of the common gas branch lines supplies a common gas to a central portion of a substrate to be processed. A peripheral introducing portion connected to the other one of the common gas branch lines supplies the common gas to a peripheral portion of the substrate. The peripheral introducing portion has peripheral inlets arranged about a circumferential region above the substrate. An additive gas line is connected to an additive gas source to add an additive gas to at least one of the common gas branch lines. In addition, an electron temperature of a plasma in a region where the peripheral inlets are disposed is lower than that in a region where the introduction portion is disposed.
    Type: Application
    Filed: November 4, 2014
    Publication date: February 26, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki MATSUMOTO, Wataru YOSHIKAWA, Yasuhiro SEO, Kazuyuki KATO
  • Patent number: 8944003
    Abstract: A system and method for generating and using plasma is provided. An embodiment comprises a plasma generating unit that comprises beta-phase aluminum oxide. A precursor material is introduced to the plasma generating unit and a plasma is induced from the precursor material. The plasma may be used to deposit or etch materials on a semiconductor substrate.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fei-Fan Chen, Wen-Sheng Wu, Chien Kuo Huang
  • Patent number: 8900398
    Abstract: An arrangement for performing pressure control within a processing chamber substrate processing is provided. The arrangement includes a peripheral ring configured at least for surrounding a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The peripheral ring includes a plurality of slots that is configured at least for exhausting processed byproduct gas from the confined chamber volume during substrate processing. The arrangement also includes a conductive control ring that is positioned next to the peripheral ring and is configured to include plurality of slots. The pressure control is achieved by moving the conductive control ring relative to the peripheral ring such that a first slot on the peripheral ring and a second slot on the conductive control ring are offset with respect to one another in a range of zero offset to full offset.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Michael C. Kellogg, Babak Kadkhodayan, Andrew D. Bailey, III
  • Publication number: 20140299272
    Abstract: There is provided a plasma generation device, comprising: a waveguide configured to propagate a microwave; a plasma generation vessel connected to the waveguide; and a dielectric window interposed between the waveguide and the plasma generation vessel to introduce the microwave propagated by the waveguide into the plasma generation vessel. The plasma generation vessel is sphere-shaped and is disposed adjacent to a processing vessel configured to accommodate a substrate, and an interior of the plasma generation vessel is in communication with an interior of the processing vessel.
    Type: Application
    Filed: June 18, 2014
    Publication date: October 9, 2014
    Inventors: Akihiro TSUJI, Song yun KANG
  • Patent number: 8852389
    Abstract: There is provided a plasma processing apparatus capable of stably generating plasma by suppressing oscillation of a plasma potential, and capable of preventing contamination caused by sputtering a facing electrode made of metal. A high frequency bias power is applied to an electrode within a mounting table for mounting a target object thereon. An extended protrusion 60 is formed at an inner peripheral surface of a cover member 27. The extended protrusion 60 is formed toward a plasma generation space S and serves as a facing electrode facing an electrode 7 within a mounting table 5 with the plasma generation space S therebetween. A ratio of a surface area of the facing electrode with respect to that of an electrode for bias (facing electrode surface area/bias electrode area) is in a range of from about 1 to about 5.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Taichi Monden, Junichi Kitagawa, Jun Yamashita, Hideo Nakamura
  • Patent number: 8815014
    Abstract: A method and system for plasma-assisted thin film vapor deposition on a substrate is described. The system includes a process chamber including a first process space having a first volume, a substrate stage coupled to the process chamber and configured to support a substrate and expose the substrate to the first process space, a plasma generation system coupled to the process chamber and configured to generate plasma in at least a portion of the first process space, and a vacuum pumping system coupled to the process chamber and configured to evacuate at least a portion of the first process space. The system further includes a process volume adjustment mechanism coupled to the process chamber and configured to create a second process space that includes at least a part of the first process space and that has a second volume less than the first volume, the substrate being exposed to the second process space.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jacques Faguet, Masahide Iwasaki, Toshihisa Nozawa
  • Patent number: 8808496
    Abstract: The invention provides a plurality of plasma tuning rod subsystems. The plasma tuning rod subsystems can comprise one or more microwave cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to a plasma by generating resonant microwave energy in one or more plasma tuning rods within and/or adjacent to the plasma. One or more microwave cavity assemblies can be coupled to a process chamber, and can comprise one or more tuning spaces/cavities. Each tuning space/cavity can have one or more plasma tuning rods coupled thereto. Some of the plasma tuning rods can be configured to couple the EM energy from one or more of the resonant cavities to the process space within the process chamber and thereby create uniform plasma within the process space.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: August 19, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L. G. Ventzek
  • Patent number: 8800483
    Abstract: A plasma deposition apparatus includes a waveguide conduit having a plurality of slots therein. The waveguide conduit is coupled to a microwave source for transmitting microwaves from the microwave source through the plurality of slots. One or more pipes have an outlet end positioned at each of the plurality of slots for transporting material from one or more material sources to the plurality of slots. The apparatus also includes a plasma chamber in communication with the waveguide tube through the plurality of slots. The plasma chamber receives through said plurality of slots microwaves from the waveguide tube and material to be melted or evaporated from the one or more pipes. The plasma chamber includes a plurality of magnets disposed in an outer wall of the plasma chamber for forming a magnetic field in the plasma chamber. The plasma chamber further includes one or more outlet openings for discharging plasma containing material to be deposited on a substrate.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: August 12, 2014
    Inventor: Peter F. Vandermeulen
  • Patent number: 8746173
    Abstract: A plasma deposition device for coating a substrate includes: a vacuum chamber; a waveguide disposed in the vacuum chamber for transmitting a microwave; an antenna unit disposed in the vacuum chamber above the substrate for receiving the microwave from the waveguide, and connected to the waveguide, the antenna unit including an outer conductor and an inner conductor wire that is disposed inside the outer conductor; a gas supplying conduit disposed inside the outer conductor and surrounding the inner conductor wire, and including a plurality of exhaust holes for blowing a plasma-inducing gas downward and toward the substrate to interact with the microwave and to produce plasma; and a blocking unit disposed in the vacuum chamber to prevent the plasma-inducing gas blown to the substrate from flowing back to the gas supplying conduit.
    Type: Grant
    Filed: July 26, 2011
    Date of Patent: June 10, 2014
    Assignees: Mastek Technologies
    Inventors: I-Nan Lin, Ton-Rong Tseng
  • Patent number: 8733281
    Abstract: A plasma processing apparatus includes: a processing chamber produced from a metal; a susceptor configured to mount a substrate; an electromagnetic wave source that supplies an electromagnetic wave; one or more dielectric member provided at an inner wall of the processing chamber, and configured to transmit the electromagnetic wave into an inside of the processing chamber; one or more metal electrode, wherein each metal electrode is installed on a bottom surface of each dielectric member such that a part of the each dielectric member is exposed to the inside of the processing chamber; and a surface wave propagating section which is a metal surface facing the susceptor, the surface wave propagating section being installed adjacent to the dielectric member and being exposed to the inside of the processing chamber. The surface wave propagating section and a bottom surface of the metal electrode are positioned on the same plane.
    Type: Grant
    Filed: December 26, 2012
    Date of Patent: May 27, 2014
    Assignees: Tokyo Electron Limited, Tohoku University
    Inventors: Masaki Hirayama, Tadahiro Ohmi, Takahiro Horiguchi
  • Publication number: 20140099794
    Abstract: Systems and methods are described relating to semiconductor processing chambers. An exemplary chamber may include a first remote plasma system fluidly coupled with a first access of the chamber, and a second remote plasma system fluidly coupled with a second access of the chamber. The system may also include a gas distribution assembly in the chamber that may be configured to deliver both the first and second precursors into a processing region of the chamber, while maintaining the first and second precursors fluidly isolated from one another until they are delivered into the processing region of the chamber.
    Type: Application
    Filed: March 13, 2013
    Publication date: April 10, 2014
    Applicant: Applied Materials, Inc.
    Inventors: Nitin K. Ingle, Anchuan Wang, Xinglong Chen
  • Patent number: 8679307
    Abstract: An apparatus for preparing specimens for microscopy including equipment for providing two or more of each of the following specimen processing activities under continuous vacuum conditions: plasma cleaning the specimen, ion beam or reactive ion beam etching the specimen, plasma etching the specimen and coating the specimen with a conductive material. Also, an apparatus and method for detecting a position of a surface of the specimen in a processing chamber, wherein the detected position is used to automatically move the specimen to appropriate locations for subsequent processing.
    Type: Grant
    Filed: August 1, 2003
    Date of Patent: March 25, 2014
    Assignee: E.A. Fischione Instruments, Inc.
    Inventors: Paul E. Fischione, Alan C. Robins, David W. Smith, Rocco R. Cerchiara, Joseph M. Matesa, Jr.
  • Patent number: 8622021
    Abstract: A method of increasing mean time between cleans of a plasma etch chamber and chamber parts lifetimes is provided. Semiconductor substrates are plasma etched in the chamber while using at least one sintered silicon nitride component exposed to ion bombardment and/or ionized halogen gas. The sintered silicon nitride component includes high purity silicon nitride and a sintering aid consisting of silicon dioxide. A plasma processing chamber is provided including the sintered silicon nitride component. A method of reducing metallic contamination on the surface of a silicon substrate during plasma processing is provided with a plasma processing apparatus including one or more sintered silicon nitride components. A method of manufacturing a component exposed to ion bombardment and/or plasma erosion in a plasma etch chamber, comprising shaping a powder composition consisting of high purity silicon nitride and silicon dioxide and densifying the shaped component.
    Type: Grant
    Filed: October 27, 2008
    Date of Patent: January 7, 2014
    Assignees: Lam Research Corporation, Ceradyne Inc.
    Inventors: Travis R. Taylor, Mukund Srinivasan, Bobby Kadkhodayan, K. Y. Ramanujam, Biljana Mikijelj, Shanghua Wu
  • Patent number: 8608901
    Abstract: In a substrate processing apparatus configured to perform a predetermined process on a target substrate accommodated in a process chamber, the process chamber is cleaned by alternately performing an operation of generating plasma of a gas containing oxygen within the process chamber, and an operation of generating plasma of a gas containing nitrogen within the process chamber.
    Type: Grant
    Filed: February 24, 2010
    Date of Patent: December 17, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shuuichi Ishizuka, Masaru Sasaki, Tetsuro Takahashi, Koji Maekawa
  • Publication number: 20130306599
    Abstract: A radical etching apparatus comprising a vacuum chamber for a substrate to be treated; a pipe pathway, connected to the vacuum chamber, a zone for generating plasma and with a gas introduction device through which N2 and at least one of H2 and NH3 can be introduced; a microwave applying microwaves to the interior of the pipe pathway; a gas introducer as a source of supply for F, between the vacuum chamber and the zone; and a shower plate. A method comprises introducing N2 and at least one of H2 gas and NH3 into a pipe pathway and applying microwaves. The gas mixture is decomposed by the plasma forming decomposition products as active species which react with F during transportation to a the vacuum chamber to make radicals. An SiO2 layer on a the substrate etched in the vacuum chamber, by irradiating the substrate with the radicals through a the shower plate.
    Type: Application
    Filed: February 1, 2012
    Publication date: November 21, 2013
    Applicant: ULVAC, INC.
    Inventors: Hiroaki Inoue, Yasushi Higuchi, Michio Ishikawa
  • Patent number: 8580076
    Abstract: A plasma apparatus, various components of the plasma apparatus, and an oxygen free and nitrogen free processes for effectively removing photoresist material and post etch residues from a substrate with a carbon and/or hydrogen containing low k dielectric layer(s).
    Type: Grant
    Filed: May 22, 2003
    Date of Patent: November 12, 2013
    Assignee: LAM Research Corporation
    Inventors: Alan Frederick Becknell, Thomas James Buckley, David Ferris, Richard E. Pingree, Jr., Palanikumaran Sakthivel, Aseem Kumar Srivastava, Carlo Waldfried
  • Patent number: 8500952
    Abstract: Plasma confinement ring assemblies are provided that include confinement rings adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to avoid polymer deposition on those surfaces. The plasma confinement rings include thermal chokes adapted to localize heating at selected portions of the rings that include the plasma exposed surfaces. The thermal chokes reduce heat conduction from those portions to other portions of the rings, which causes selected portions of the rings to reach desired temperatures during plasma processing.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: August 6, 2013
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Felix Kozakevich, James H. Rogers, David Trussell
  • Patent number: 8491720
    Abstract: Embodiments disclosed herein generally relate to an HVPE chamber. The chamber may have two separate precursor sources coupled thereto to permit two separate layers to be deposited. For example, a gallium source and a separate aluminum source may be coupled to the processing chamber to permit gallium nitride and aluminum nitride to be separately deposited onto a substrate in the same processing chamber. The nitrogen may be introduced to the processing chamber at a separate location from the gallium and the aluminum and at a lower temperature. The different temperatures causes the gases to mix together, react and deposit on the substrate with little or no deposition on the chamber walls.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, David H. Quach, Anzhong Chang, Olga Kryliouk, Yuriy Melnik, Harsukhdeep S. Ratia, Son T. Nguyen, Lily Pang
  • Patent number: 8480848
    Abstract: The present invention relates to a plasma processing apparatus including: a processing chamber whose ceiling portion is opened and the inside thereof can be evacuated to vacuum; a ceiling plate which is made of dielectric material and is airtightly mounted to an opening of the ceiling portion; a planar antenna member which is installed on a top surface of the ceiling plate, for introducing a microwave into the processing chamber; and a coaxial waveguide, which has a central conductor connected to the planar antenna member, for supplying the microwave, wherein a gas passage is formed to pass through the central conductor, the planar antenna member, and the ceiling plate, and an electric field attenuating recess for attenuating an electric field intensity of the center portion of the ceiling plate is installed on a top surface of a center area of the ceiling plate.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: July 9, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Tetsuya Nishizuka, Toshihisa Nozawa
  • Patent number: 8420168
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: May 8, 2012
    Date of Patent: April 16, 2013
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8419854
    Abstract: In a film-forming apparatus in which two or more gases are used, a uniform film is formed. In a film-forming apparatus provided with a film-forming chamber and a shower head, the shower head is provided with a material gas diffusion chamber and a reactive gas diffusion chamber. A gas passage which communicates the material gas diffusion chamber and a material gas introduction pipe is constituted into multi-stages of one stage or more. Each stage has a gas passage represented by 2n-1 (where n is the number of stages). The first-stage gas passage has connected to the center thereof the material gas introduction pipe. Each of second-stage and subsequent-stage gas passages has connected to the center thereof connection holes which are provided on both ends of the previous-stage gas passages so as to be in communication with the previous-stage gas passages. Each of the final-stage gas passages is connected to the material gas diffusion chamber by connection holes formed on both ends of each of the gas passages.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: April 16, 2013
    Assignee: Ulvac, Inc.
    Inventors: Masanobu Hatanaka, Osamu Irino, Michio Ishikawa
  • Patent number: 8382940
    Abstract: A device (6) and a method for generating chlorine trifluoride is described, a high-density plasma (105) being generated in the interior of a plasma reactor (100) using plasma generating means (110, 120, 130, 150, 155, 160, 170, 180), and a first gas and a second gas, which react with one another under the influence of the high-density plasma (105) in the plasma reactor (100) under the formation of chlorine trifluoride, being supplied to the plasma reactor (100) via gas supply means (21, 22, 25, 26). In addition, a gas outlet (20) is provided, via which the generated chlorine trifluoride can be removed from the plasma reactor (100).
    Type: Grant
    Filed: March 27, 2003
    Date of Patent: February 26, 2013
    Assignee: Robert Bosch GmbH
    Inventor: Franz Laermer
  • Patent number: 8361274
    Abstract: A vacuum processing apparatus is provided with: a vacuum processing tank; a first gas introduction section that is constructed such that a first processing gas in a radical state is introduced into the vacuum processing tank and is guided to a semiconductor wafer; and a second gas introduction section that is constructed such that a second processing gas that reacts with the first processing gas is introduced into the vacuum processing tank and is guided to the semiconductor wafer. The second gas introduction section has two shower nozzles provided at positions on either side of an introduction pipe provided for the first gas introduction section. According to this vacuum processing apparatus, high speed processing of a number of processing objects can be achieved. Moreover, the in-plane uniformity of the processing objects after processing can be ensured.
    Type: Grant
    Filed: January 10, 2005
    Date of Patent: January 29, 2013
    Assignees: Samsung Electronics Co., Ltd, Ulvac, Inc.
    Inventors: Kwang-Myung Lee, Ki-Young Yun, Il-Kyoung Kim, Sung-Wook Park, Seung-Ki Chae, No-Hyun Huh, Jae-Wook Kim, Jae-Hyuck An, Woo-Seok Kim, Myeong-Jin Kim, Kyoung-Ho Jang, Shinji Yanagisawa, Kengo Tsutsumi, Seiichi Takahashi
  • Patent number: 8343308
    Abstract: A ceiling plate provided at a ceiling portion of a process chamber that may be evacuated to a vacuum is disclosed. The ceiling plate allows microwaves emitted from a slot of a planar antenna member provided along with the ceiling plate to pass through the ceiling plate into the process chamber, and includes plural concave portions provided along a circle on a surface of the ceiling plate, the surface facing toward an inside of the process chamber.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: January 1, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Tetsuya Nishizuka, Kiyotaka Ishibashi, Toshihisa Nozawa
  • Patent number: 8327796
    Abstract: Provided is a plasma processing apparatus having a coaxial waveguide structure in which characteristic impedance of an input side and characteristic impedance of an output side are different. A microwave plasma processing apparatus, which plasma-processes a substrate by exciting a gas by using a microwave, includes: a processing container; a microwave source, which outputs a microwave, a first coaxial waveguide, which transmits the microwave output from the microwave source; and a dielectric plate, which is adjacent to the first coaxial waveguide while facing an inner side of the processing container, and emits the microwave transmitted from the first coaxial waveguide into the processing container. A thickness ratio between an inner conductor and an outer conductor of the first coaxial waveguide is not uniform along a longitudinal direction.
    Type: Grant
    Filed: June 3, 2009
    Date of Patent: December 11, 2012
    Assignees: Tokyo Electron Limited, Tohoku University
    Inventors: Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 8316797
    Abstract: New and improved microwave plasma assisted reactors, for example chemical vapor deposition (MPCVD) reactors, are disclosed. The disclosed microwave plasma assisted reactors operate at pressures ranging from about 10 Torr to about 760 Torr. The disclosed microwave plasma assisted reactors include a movable lower sliding short and/or a reduced diameter conductive stage in a coaxial cavity of a plasma chamber. For a particular application, the lower sliding short position and/or the conductive stage diameter can be variably selected such that, relative to conventional reactors, the reactors can be tuned to operate over larger substrate areas, operate at higher pressures, and discharge absorbed power densities with increased diamond synthesis rates (carats per hour) and increased deposition uniformity.
    Type: Grant
    Filed: June 16, 2009
    Date of Patent: November 27, 2012
    Assignee: Board of Trustees of Michigan State University Fraunhofer USA
    Inventors: Jes Asmussen, Timothy Grotjohn, Donnie K. Reinhard, Thomas Schuelke, M. Kagan Yaran, Kadek W. Hemawan, Michael Becker, David King, Yajun Gu, Jing Lu
  • Patent number: 8317971
    Abstract: A plasma processing apparatus includes a discharge window made of a dielectric material, a discharge chamber which is grounded and includes an opening formed at its one end and the discharge window provided at its other end facing the opening, a gas supply system which supplies a gas into the discharge chamber, a high-frequency power application mechanism which applies a high-frequency power to the gas to generate a plasma inside the discharge chamber, a substrate holder which can hold a substrate while facing the discharge window on the outer side of the discharge chamber, a shielding member which partially shields the plasma that impinges on the substrate, and a supporting member which supports the shielding member. The supporting member is grounded and fixed on the shielding member at a position which is farther from the substrate than the shielding member and different from that of the discharge window.
    Type: Grant
    Filed: August 3, 2011
    Date of Patent: November 27, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Kazuto Yamanaka, Akio Sato
  • Patent number: 8308898
    Abstract: A tuner for matching impedance includes: a body having a tubular outer conductor and a tubular inner conductor coaxially provided in the outer conductor, the body forming a part of the microwave transmission path; an annular dielectric slug provided between the outer conductor and the inner conductor, the slug being movable along a longitudinal direction of the inner conductor; and a drive mechanism for moving the slug and including a drive part for applying a driving force; a drive transmission part for transmitting the driving force to the slug; a drive guide part for guiding movement of the slug; and a holding part for holding the slug at the drive transmission part, and wherein the drive transmission part, the drive guide part and the holding part are accommodated in the inner conductor.
    Type: Grant
    Filed: September 27, 2011
    Date of Patent: November 13, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Taro Ikeda, Yuki Osada
  • Patent number: 8273210
    Abstract: In the plasma processing apparatus 1, microwaves supplied from a coaxial waveguide 30 are introduced into a processing container 2 via a wavelength-shortening plate 25, a process gas is plasmatized in the processing container 2, and a substrate W is processed using the plasma. In the plasma processing apparatus 1, a dielectric member 45 is disposed at a connecting area between the coaxial waveguide 30 and the wavelength-shortening plate 25. Inside an outer conductor 32 of the coaxial waveguide 30, the dielectric member 45 is disposed to surround a part of a circumference of an inner conductor 31 of the coaxial waveguide 30, and is disposed at any position around the circumference of the inner conductor 31.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: September 25, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Kiyotaka Ishibashi, Toshihisa Nozawa
  • Patent number: 8267040
    Abstract: In a plasma processing apparatus that processes a wafer in a process vessel by plasma generated by the supply of a microwave, a transmissive window has, in a center area of its lower surface, a hanging portion made of the same material as a material of the transmissive window. Between an outer peripheral surface of the hanging portion and a sidewall inner surface continuing from a support part, a gap is formed, the gap having a gap length of 0.5 to 10 mm, more preferably 0.5 to 5 mm. The generation of a strong electric field and plasma at a contact point is inhibited and an amount of sputtered particles, radicals, or the like reaching the wafer is also reduced.
    Type: Grant
    Filed: February 15, 2005
    Date of Patent: September 18, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kiyotaka Ishibashi, Junichi Kitagawa, Singo Furui, Cai Zhong Tian, Jun Yamashita, Nobuhiko Yamamoto, Tetsuya Nishizuka, Toshihisa Nozawa, Shinya Nishimoto, Tamaki Yuasa
  • Patent number: 8262798
    Abstract: The present invention herein provides a shower head whose temperature can be controlled in consideration of the film-forming conditions selected and a thin film-manufacturing device which permits the stable and continuous formation of thin films including only a trace amount of particles while reproducing a good film thickness distribution and compositional distribution, and a high film-forming rate and which is excellent in the productivity and the mass-producing ability as well as a method for the preparation of such a film.
    Type: Grant
    Filed: August 5, 2004
    Date of Patent: September 11, 2012
    Assignee: ULVAC, Inc.
    Inventors: Takakazu Yamada, Takeshi Masuda, Masahiko Kajinuma, Yutaka Nishioka, Masaki Uematsu, Koukou Suu
  • Patent number: 8252116
    Abstract: A seal-protected perimeter partition valve apparatus defines a vacuum and pressure sealed space within a larger space confining a substrate processing chamber with optimized geometry, minimized footprint, and 360° substrate accessibility. A compact perimeter partitioned assembly with seal protected perimeter partition valve and internally contained substrate placement member further provides processing system modularity and substantially minimized system footprint.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: August 28, 2012
    Assignee: Sundew Technologies, LLC
    Inventor: Ofer Sneh
  • Patent number: 8236106
    Abstract: A shower head is provided in a processing chamber for processing a substrate therein. Further, the shower head has a facing surface facing a mounting table for mounting thereon the substrate and serves to supply one or more gases through the facing surface toward the substrate. The shower head includes a central gas supply unit for supplying a first gas through a central portion of the facing surface toward the substrate, a peripheral gas supply unit for supplying a second gas through a peripheral portion of the facing surface toward the substrate and a gas exhaust unit, provided with a plurality of gas exhaust holes formed between the central gas supply unit and the peripheral gas supply unit, for exhausting the first and the second gas from the facing surface.
    Type: Grant
    Filed: March 13, 2009
    Date of Patent: August 7, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Hachishiro Iizuka, Fumiko Kiriishi, Tsuyoshi Komiyama
  • Patent number: 8211231
    Abstract: A delivery device for thin-film material deposition has at least first, second, and third inlet ports for receiving a common supply for a first, a second and a third gaseous material, respectively. Each of the first, second, and third elongated emissive channels allow gaseous fluid communication with one of corresponding first, second, and third inlet ports. The delivery device can be formed from apertured plates, superposed to define a network of interconnecting supply chambers and directing channels for routing each of the gaseous materials from its corresponding inlet port to a corresponding plurality of elongated emissive channels. The delivery device comprises a diffusing channel formed by a relief pattern between facing plates. Also disclosed is a process for thin film deposition. Finally, more generally, a flow diffuser and a corresponding method of diffusing flow is disclosed.
    Type: Grant
    Filed: September 26, 2007
    Date of Patent: July 3, 2012
    Assignee: Eastman Kodak Company
    Inventors: Roger S. Kerr, David H. Levy, James T. Murray
  • Patent number: 8136479
    Abstract: A plasma treatment apparatus generates a plasma in a treatment vessel by an electromagnetic wave radiated from an electromagnetic wave radiation portion into the treatment vessel to perform plasma treatment by the plasma. At least a part of a wall constituting the treatment vessel includes at least a part of an electromagnetic wave transmission path which transmits the electromagnetic wave.
    Type: Grant
    Filed: March 17, 2005
    Date of Patent: March 20, 2012
    Assignee: Sharp Kabushiki Kaisha
    Inventors: Hideo Sugai, Tetsuya Ide, Atsushi Sasaki, Kazufumi Azuma, Yukihiko Nakata
  • Patent number: 8133348
    Abstract: A plasma generating apparatus includes a coaxial convertor for coaxial-converting a microwave, a generally annular ring slot that passes the coaxial-converted microwave, and a dielectric window that propagates the microwave passed through the ring slot. A plasma is produced by the microwave propagated through the dielectric window. This enables stable formation of a plasma having a uniform distribution over a large area.
    Type: Grant
    Filed: April 26, 2006
    Date of Patent: March 13, 2012
    Assignees: Shibaura Mechatronics Corporation, Kabushiki Kaisha Toshiba
    Inventors: Ivan Petrov Ganachev, Yoshikazu Tsugami, Kohei Shimatani, Masashi Yamage
  • Publication number: 20110240598
    Abstract: A plasma processing apparatus 11 includes a reactant gas supply unit 13 for supplying a reactant gas for a plasma process into a processing chamber 12. The reactant gas supply unit 13 includes a first reactant gas supply unit 61 provided at a center of a dielectric plate 16 and configured to supply the reactant gas in a directly downward direction toward a central region of a processing target substrate W held on a holding table 14; and a second reactant gas supply unit 62 provided at a position directly above the holding table 14 but not directly above the processing target substrate W held on the holding table 14 and configured to supply the reactant gas toward a center of the processing target substrate W held on the holding table 14.
    Type: Application
    Filed: August 25, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Nobuyuki Okayama, Naoki Matsumoto
  • Publication number: 20110240223
    Abstract: There is provided a substrate processing system having high maintainability by widening a gap between various processing apparatuses connected with side surfaces of transfer modules and capable of achieving sufficient productivity by avoiding deterioration in throughput. The substrate processing system for manufacturing an organic EL device by forming a multiple number of layers including, e.g., an organic layer on a substrate includes at least one transfer module configured to be evacuable and arranged along a straight transfer route. Within the transfer module, a multiple number of loading/unloading areas for loading/unloading the substrate with respect to a processing apparatus and at least one stocking area positioned between the loading/unloading areas are alternately arranged along the transfer route in series, and the processing apparatus is connected with a side surface of the transfer module at a position facing each of the loading/unloading areas.
    Type: Application
    Filed: November 11, 2009
    Publication date: October 6, 2011
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Shinji Matsubayashi, Satoru Kawakami, Yasuhiro Tobe, Masaru Nishimura, Yasushi Yagi, Teruyuki Hayashi, Yuji Ono, Fumio Shimo
  • Patent number: 8006640
    Abstract: A plasma processing apparatus includes: a process container configured to accommodate a target object and hold a vacuum therein for performing a plasma process; a worktable configured to place the target object thereon inside the process container; a planar antenna including a plurality of slots and configured to supply microwaves into the process container; a gas feed mechanism configured to supply a process gas into the process container; and a top plate disposed opposite the worktable, the top plate being set at a position separated from the target object placed on the worktable by a distance of 20 mm or more and 100 mm or less.
    Type: Grant
    Filed: March 26, 2007
    Date of Patent: August 30, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Masaru Sasaki
  • Publication number: 20110168673
    Abstract: Provided are a plasma processing apparatus, a plasma processing method, and a mechanism for regulating a temperature of a dielectric window, which can achieve a better plasma processing characteristic by more precisely controlling the temperature of the dielectric window through which a microwave used for plasma processing is transmitted. The plasma processing apparatus is provided with a processing container, a dielectric window (shower plate), an antenna, a waveguide, a cooling block, a substrate holder, and a holding ring (upper plate) attached to the upper portion of the processing container. A circumferential portion of the dielectric window is engaged with the holding ring. The cooling block provided with a cooling flow path through which a heat medium can flow is provided on the antenna. A temperature sensor is provided around the waveguide, and a temperature of the antenna or the like is detected. A lamp heater is provided in an inside of the holding ring.
    Type: Application
    Filed: July 1, 2009
    Publication date: July 14, 2011
    Applicant: Tokyo Electron Limited
    Inventor: Shinya Nishimoto
  • Publication number: 20110136346
    Abstract: Non-oxidizing plasma treatment devices for treating a semiconductor workpiece generally include a substantially non-oxidizing gas source; a plasma generating component in fluid communication with the non-oxidizing gas source; a process chamber in fluid communication with the plasma generating component, and an exhaust conduit centrally located in a bottom wall of the process chamber. In one embodiment, the process chamber is formed of an aluminum alloy containing less than 0.15% copper by weight; In other embodiments, the process chamber includes a coating of a non-copper containing material to prevent formation of copper hydride during processing with substantially non-oxidizing plasma. In still other embodiments, the process chamber walls are configured to be heated during plasma processing. Also disclosed are non-oxidizing plasma processes.
    Type: Application
    Filed: December 4, 2009
    Publication date: June 9, 2011
    Applicant: AXCELIS TECHNOLOGIES, INC.
    Inventors: Phillip Geissbühler, Ivan Berry, Armin Huseinovic, Shijian Luo, Aseem Kumar Srivastava, Carlo Waldfried