With Plasma Generation Means Remote From Processing Chamber Patents (Class 156/345.35)
  • Patent number: 11915951
    Abstract: A plasma processing apparatus includes a stage disposed in a processing chamber for mounting a wafer, a plasma generation chamber disposed above the processing chamber for plasma generation using process gas, a plate member having multiple introduction holes, made of a dielectric material, disposed above the stage and between the processing chamber and the plasma generation chamber, and a lamp disposed around the plate member for heating the wafer. The plasma processing apparatus further includes an external IR light source, an emission fiber arranged in the stage, that outputs IR light from the external IR light source toward a wafer bottom, and a light collection fiber for collecting IR light from the wafer. Data obtained using only IR light from the lamp is subtracted from data obtained also using IR light from the external IR light source during heating of the wafer. Thus, a wafer temperature is determined.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: February 27, 2024
    Assignee: HITACHI HIGH-TECH CORPORATION
    Inventors: Hiroyuki Kobayashi, Nobuya Miyoshi, Kazunori Shinoda, Tatehito Usui, Naoyuki Kofuji, Yutaka Kouzuma, Tomoyuki Watanabe, Kenetsu Yokogawa, Satoshi Sakai, Masaru Izawa
  • Patent number: 11742221
    Abstract: A dry cleaning apparatus includes a chamber, a substrate support supporting a substrate within the chamber, a shower head arranged in an upper portion of the chamber to supply a dry cleaning gas toward the substrate, the shower head including an optical window transmitting a laser light therethrough toward the substrate support, a plasma generator generating plasma from the dry cleaning gas, and a laser irradiator irradiating the laser light on the substrate through the optical window and the plasma to heat the substrate.
    Type: Grant
    Filed: July 15, 2021
    Date of Patent: August 29, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Seung-Min Shin, Seok-Hoon Kim, Young-Hoo Kim, In-Gi Kim, Tae-Hong Kim, Sung-Hyun Park, Jin-Woo Lee, Ji-Hoon Cha, Yong-Jun Choi
  • Patent number: 11515119
    Abstract: The present invention provides a plasma processing device including a vacuum container that has controllable internal pressure, gas supply means, an electrode that is provided in the vacuum container and has an upper surface on which a substrate is placed, and an antenna that is arranged to face the electrode to form inductive coupling, in which the antenna that is configured to form the inductive coupling includes one end connected to a high-frequency power source via a matching circuit, and the other end that is an open end, a length of the antenna is less than ½? of a wavelength (?) of an RF frequency, an impedance adjustment circuit connected in parallel to the antenna is connected to an RF feeding side of the antenna, and a reactance component of a combined impedance by the impedance adjustment circuit is adjustable from a capacitive load to an inductive load with respect to the RF frequency supplied to the antenna.
    Type: Grant
    Filed: July 17, 2019
    Date of Patent: November 29, 2022
    Assignee: Y.A.C. TECHNOLOGIES CO., LTD.
    Inventors: Hirofumi Kaneko, Takeshi Noguchi, Tatsuya Sato
  • Patent number: 11508572
    Abstract: A method includes forming a dummy gate structure over a wafer. Gate spacers are formed on either side of the dummy gate structure. The dummy gate structure is removed to form a gate trench between the gate spacers. A gate dielectric layer is formed in the gate trench. A gate electrode is formed over the gate dielectric layer. Forming the gate dielectric layer includes applying a first bias to the wafer. With the first bias turned on, first precursors are fed to the wafer. The first bias is turned off. After turning off the first bias, second precursors are fed to the wafer.
    Type: Grant
    Filed: April 1, 2020
    Date of Patent: November 22, 2022
    Assignees: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD., NATIONAL TAIWAN UNIVERSITY
    Inventors: Chun-Yi Chou, Po-Hsien Cheng, Tse-An Chen, Miin-Jang Chen
  • Patent number: 11302698
    Abstract: A semiconductor device includes a transistor on a semiconductor substrate including a first area and a second area, and having a gate structure and an impurity area, a first interlayer insulating film covering the transistor, and having a contact plug electrically connected to the impurity area, a capacitor including a lower electrode on the first interlayer insulating film in the second area and electrically connected to the contact plug, a dielectric film coating a surface of the lower electrode, and an upper electrode on the dielectric film, and a support layer in contact with an upper side surface of the lower electrode to support the lower electrode, and extending to the first area, in which the support layer has a step between the first area and the second area.
    Type: Grant
    Filed: March 25, 2020
    Date of Patent: April 12, 2022
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Yoonyoung Choi, Sungsoo Yim, Byeongmoo Kang, Seongmo Koo, Sejin Park, Jinwoo Bae
  • Patent number: 10861676
    Abstract: Exemplary methods for etching a variety of metal-containing materials may include flowing an oxygen-containing precursor into a semiconductor processing chamber. A substrate positioned within the semiconductor processing chamber may include a trench formed between two vertical columns and a metal-containing material arranged within a plurality of recesses defined by the two vertical columns. The plurality of recesses may include a first recess and a second recess adjacent to the first recess. The metal-containing material arranged within the first recess and the metal-containing material arranged within the second recess may be connected by the metal-containing material lining a portion of sidewalls of the trench. The methods may further include oxidizing the metal-containing material with the oxygen-containing precursor. The methods may also include flowing a halide precursor into the semiconductor processing chamber.
    Type: Grant
    Filed: March 5, 2018
    Date of Patent: December 8, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Nitin Ingle, Feiyue Ma, Hanshen Zhang, Siliang Chang, Daniella Holm
  • Patent number: 10854426
    Abstract: Exemplary methods for laterally etching tungsten may include flowing an oxygen-containing precursor into a semiconductor processing chamber. A substrate positioned within the semiconductor processing chamber may include a trench formed between two vertical columns and tungsten slabs arranged within a plurality of recesses defined by at least one of the two vertical columns. At least two of the tungsten slabs may be connected by tungsten lining a portion of sidewalls of the trench. The methods may further include oxidizing the tungsten connecting the at least two of the tungsten slabs with the oxygen-containing precursor. The methods may include flowing a halide precursor into the semiconductor processing chamber. The methods may also include laterally etching the oxidized tungsten from the sidewalls of the trench.
    Type: Grant
    Filed: January 8, 2018
    Date of Patent: December 1, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Zhenjiang Cui, Nitin Ingle, Feiyue Ma, Hanshen Zhang, Siliang Chang, Daniella Holm
  • Patent number: 10755941
    Abstract: Exemplary etching methods may include flowing a hydrogen-containing precursor into a substrate processing region of a semiconductor processing chamber. The methods may include flowing a fluorine-containing precursor into the substrate processing region. The methods may include contacting a substrate housed in the substrate processing region with the hydrogen-containing precursor and the fluorine-containing precursor. The substrate may define a trench, and a layer of an oxygen-containing material may be disposed within the trench and exposed on the substrate. The methods may include halting delivery of the hydrogen-containing precursor. The methods may also include removing the oxygen-containing material.
    Type: Grant
    Filed: July 6, 2018
    Date of Patent: August 25, 2020
    Assignee: Applied Materials, Inc.
    Inventors: Zhijun Chen, Chia-Ling Kao, Anchuan Wang, Nitin Ingle
  • Patent number: 10668512
    Abstract: A particle removal method is provided for removing particles on a film etched using a fluorine-containing gas. In the method, a mixed gas of an activated oxygen-containing gas and hydrogen gas added to the activated oxygen-containing gas is supplied to the etched film.
    Type: Grant
    Filed: December 14, 2017
    Date of Patent: June 2, 2020
    Assignee: Tokyo Electron Limited
    Inventors: Jun Sato, Masato Yonezawa, Takashi Chiba
  • Patent number: 10388557
    Abstract: Provided is a holding stage structure which holds a substrate and disposed in a process chamber that is vacuum-evacuatable and allows a predetermined process to be performed on the substrate therein. The holding stage structure includes: a holding stage body on which the substrate is placed; an elevation pin mechanism lowering the substrate on the holding stage body or raising the substrate from the holding stage body; and a stepped portion formed on the holding stage body so that a peripheral portion of a rear surface of the substrate placed on the holding stage body is exposed to a processing gas supplied into the process chamber.
    Type: Grant
    Filed: September 15, 2015
    Date of Patent: August 20, 2019
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Kohei Kawamura, Yasuo Kobayashi, Toshihisa Nozawa, Kiyotaka Ishibashi
  • Patent number: 10232413
    Abstract: A fluid is directed toward a surface of an optical element based on a first flow pattern, the surface of the optical element including debris and the fluid directed based on the first flow pattern moving at least some of the debris to a first stagnation region at the surface of the optical element; and the fluid is directed toward the optical element based on a second flow pattern, the fluid directed based on the second flow pattern moving at least some of the debris to a second stagnation region on the surface of the optical element, the second stagnation region and the first stagnation region being different locations at the surface of the optical element. Directing the fluid toward the surface of the optical element based on the second flow pattern removes at least some of the debris from the first stagnation region.
    Type: Grant
    Filed: August 30, 2017
    Date of Patent: March 19, 2019
    Assignee: ASML Netherlands B.V.
    Inventors: Silvia De Dea, Chunguang Xia, Gregory James Wilson, Brandon Wilson Verhoff
  • Patent number: 10134605
    Abstract: The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. A partially fabricated semiconductor substrate is provided in a reaction chamber. The reaction chamber is divided into an upper sub-chamber and a lower sub-chamber by a grid assembly. Plasma is generated in the upper sub-chamber, and the substrate is positioned in the lower sub-chamber. The grid assembly includes at least two grids, each of which is negatively biased, and each of which includes perforations which allow certain species to pass through. The uppermost grid is negatively biased in order to repel electrons. The lowermost grid is biased further negative (compared to the uppermost grid) in order to accelerate positive ions from the upper to the lower sub-chamber. Etching gas is supplied directly to the lower sub-chamber.
    Type: Grant
    Filed: August 21, 2015
    Date of Patent: November 20, 2018
    Assignee: Lam Research Corporation
    Inventor: Joydeep Guha
  • Patent number: 9967965
    Abstract: A processing chamber including multiple plasma sources in a process chamber top. Each one of the plasma sources is a ring plasma source including a primary winding and multiple ferrites. A plasma processing system is also described. A method of plasma processing is also described.
    Type: Grant
    Filed: September 26, 2012
    Date of Patent: May 8, 2018
    Assignee: Lam Research Corporation
    Inventors: Ali Shajii, Richard Gottscho, Souheil Benzerrouk, Andrew Cowe, Siddharth P. Nagarkatti, William Entley
  • Patent number: 9896326
    Abstract: A method of reducing line bending and surface roughness of a substrate with pillars includes forming a treated surface by treating a pillar-containing substrate with a radical. The radical may be silicon-based, nitrogen-based or oxygen-based. The method may include forming a dielectric film over the treated surface by reacting an organosilicon precursor and an oxygen precursor. The method may include curing the dielectric film at a temperature of about 150° C. or less. A method of reducing line bending and surface roughness of a substrate with pillars includes forming a dielectric film over a pillar-containing substrate by reacting an organosilicon precursor, an oxygen precursor, and a radical precursor. The method may include curing the dielectric film at a temperature of about 150° C. or less. The radical precursor may be selected from the group consisting of nitrogen-based radical precursor, oxygen-based radical precursor, and silicon-based radical precursor.
    Type: Grant
    Filed: November 30, 2015
    Date of Patent: February 20, 2018
    Assignee: Applied Materials, Inc.
    Inventors: Jingmei Liang, Kiran V. Thadani, Jessica S. Kachian, Nagarajan Rajagopalan
  • Patent number: 9786472
    Abstract: A plasma processing apparatus performs plasma processing on a substrate held by a carrier. The carrier includes a frame disposed around the substrate and a holding sheet which holds the substrate and the frame. The plasma processing apparatus includes: a chamber; a stage which is disposed within the chamber and has an upper surface on which the carrier is mounted; a gas hole which is provided at a position of the upper surface opposing a bottom surface of the frame and through which cooling gas is supplied between the stage and the carrier; and a plasma exciting unit which generates plasma within the chamber.
    Type: Grant
    Filed: October 2, 2015
    Date of Patent: October 10, 2017
    Assignee: PANASONIC INTELLECTUAL PROPERTY MANAGEMENT CO., LTD.
    Inventors: Tetsuhiro Iwai, Shogo Okita, Syouzou Watanabe
  • Patent number: 9560730
    Abstract: Free radicals that combine with debris that is created by converting a target mixture to plasma that emits EUV light are received at a first opening defined by a first end of a conduit, the conduit including a material that passes the free radicals and the conduit including a sidewall that extends away from the first opening and defines at least one other opening, the at least one other positioned to release the free radicals toward an element that accumulates the debris on a surface. The free radicals in the conduit are directed toward the at least one other opening. The free radicals are passed through the at least one other opening and to the surface of the element to remove the debris from the surface of the element without removing the element from the EUV light source.
    Type: Grant
    Filed: September 9, 2013
    Date of Patent: January 31, 2017
    Assignee: ASML Netherlands B.V.
    Inventors: Silvia De Dea, Alexander I. Ershov, Brandon Verhoff, Gregory Wilson, Bruno M. La Fontaine
  • Patent number: 9466519
    Abstract: A de-chuck control method is provided for de-chucking a workpiece from an electrostatic chuck, which includes a chuck electrode and electrostatically attracts the workpiece. The de-chuck control method includes acquiring a time-integration value of a current by measuring the current flowing from the chuck electrode for a predetermined time period after a plasma process is ended and a voltage applied to the chuck electrode is turned off; calculating a difference between the time-integration value of the current and an electric charge charged to the chuck electrode during the plasma process; calculating a counter voltage according to a residual charge of the electrostatic chuck based on the difference and a predetermined correlation between the time-integration value of the current and a torque acting on a support pin for supporting the workpiece; and applying the counter voltage to the chuck electrode while introducing gas into a processing chamber and generating plasma.
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: October 11, 2016
    Assignee: Tokyo Electron Limited
    Inventor: Atsushi Kawabata
  • Patent number: 9359686
    Abstract: Anodic oxide coatings and methods for forming anodic oxide coatings on metal alloy substrates are disclosed. Methods involve post-anodizing processes that improve the appearance of the anodic oxide coating or increase the strength of the underlying metal alloy substrates. In some embodiments, a diffusion promoting process is used to promote diffusion of one or more types of alloying elements enriched at an interface between the anodic oxide coating and the metal alloy substrate away from the interface. The diffusion promoting process can increase an adhesion strength of the anodic oxide film to the metal alloy substrate and reduce an amount of discoloration due to the enriched alloying elements. In some embodiments, a post-anodizing age hardening process is used to increase the strength of the metal alloy substrate and to improve cosmetics of the anodic oxide coatings.
    Type: Grant
    Filed: January 9, 2015
    Date of Patent: June 7, 2016
    Assignee: Apple Inc.
    Inventors: James A. Curran, William A. Counts, Eric W. Hamann
  • Patent number: 9343269
    Abstract: A plasma processing apparatus has a long chamber having an opening portion, a gas supply apparatus that supplies gas into the chamber, a spiral coil having a long shape in parallel with the longitudinal direction of the chamber, a high-frequency electric power supply connected to the spiral coil, a base material mounting table which is disposed opposite to the opening portion and holds a base material and a moving mechanism which is disposed in parallel with the longitudinal direction of the chamber and the longitudinal direction of the opening portion, and enables the chamber and the base material mounting table to relatively move perpendicularly with respect to the longitudinal direction of the opening portion.
    Type: Grant
    Filed: October 26, 2012
    Date of Patent: May 17, 2016
    Assignee: Panasonic Intellectual Property Management Co., Ltd.
    Inventors: Tomohiro Okumura, Hiroshi Kawaura
  • Patent number: 9150418
    Abstract: A method for forming graphene includes providing a substrate and subjecting the substrate to a reduced pressure environment. The method also includes providing a carrier gas and a carbon source and exposing at least a portion of the substrate to the carrier gas and the carbon source. The method further includes performing a surface treatment process on the at least a portion of the substrate and converting a portion of the carbon source to graphene disposed on the at least a portion of the substrate.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: October 6, 2015
    Assignee: CALIFORNIA INSTITUTE OF TECHNOLOGY
    Inventor: David A. Boyd
  • Patent number: 9147581
    Abstract: The embodiments herein generally deal with semiconductor processing methods and apparatus. More specifically, the embodiments relate to methods and apparatus for etching a semiconductor substrate. A partially fabricated semiconductor substrate is provided in a reaction chamber. The reaction chamber is divided into an upper sub-chamber and a lower sub-chamber by a grid assembly. Plasma is generated in the upper sub-chamber, and the substrate is positioned in the lower sub-chamber. The grid assembly includes at least two grids, each of which is negatively biased, and each of which includes perforations which allow certain species to pass through. The uppermost grid is negatively biased in order to repel electrons. The lowermost grid is biased further negative (compared to the uppermost grid) in order to accelerate positive ions from the upper to the lower sub-chamber. Etching gas is supplied directly to the lower sub-chamber.
    Type: Grant
    Filed: July 11, 2013
    Date of Patent: September 29, 2015
    Assignee: Lam Research Corporation
    Inventor: Joydeep Guha
  • Patent number: 9064815
    Abstract: A method of selectively etching a metal-containing film from a substrate comprising a metal-containing layer and a silicon oxide layer includes flowing a fluorine-containing gas into a plasma generation region of a substrate processing chamber, and applying energy to the fluorine-containing gas to generate a plasma in the plasma generation region. The plasma comprises fluorine radicals and fluorine ions. The method also includes filtering the plasma to provide a reactive gas having a higher concentration of fluorine radicals than fluorine ions, and flowing the reactive gas into a gas reaction region of the substrate processing chamber. The method also includes exposing the substrate to the reactive gas in the gas reaction region of the substrate processing chamber. The reactive gas etches the metal-containing layer at a higher etch rate than the reactive gas etches the silicon oxide layer.
    Type: Grant
    Filed: March 9, 2012
    Date of Patent: June 23, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Jingchun Zhang, Anchuan Wang, Nitin Ingle
  • Publication number: 20150144264
    Abstract: Provided are a plasma generating apparatus using mutual inductive coupling and a substrate treating apparatus including the same. According to an embodiment of the present invention, a plasma generating apparatus includes: an RF power supply providing an RF signal; a plurality of electromagnetic field applying units inducing an electromagnetic field by receiving the RF signal; and a reactance element connected to a ground terminal of the electromagnetic field applying unit, wherein each of the electromagnetic field applying units may include a plurality of mutually-inductively coupled coils.
    Type: Application
    Filed: October 17, 2014
    Publication date: May 28, 2015
    Inventors: Hee Sun CHAE, Jeong Hee CHO, Jong Sik LEE, Han Saem RHEE, Hyun Jun KIM
  • Patent number: 9039911
    Abstract: Methods for etching a substrate in a plasma processing chamber having at least a primary plasma generating region and a secondary plasma generating region separated from said primary plasma generating region by a semi-barrier structure. The method includes generating a primary plasma from a primary feed gas in the primary plasma generating region. The method also includes generating a secondary plasma from a secondary feed gas in the secondary plasma generating region to enable at least some species from the secondary plasma to migrate into the primary plasma generating region. The method additionally includes etching the substrate with the primary plasma after the primary plasma has been augmented with migrated species from the secondary plasma.
    Type: Grant
    Filed: September 25, 2012
    Date of Patent: May 26, 2015
    Assignee: Lam Research Corporation
    Inventors: Eric A. Hudson, Andrew D. Bailey, III, Rajinder Dhindsa
  • Publication number: 20150129131
    Abstract: A semiconductor processing apparatus includes an electromagnetic generator, an analog signal module, and an electromagnetic shield. The electromagnetic generator is capable of generating an electromagnetic field. The analog signal module is located adjacent to the electromagnetic generator and capable of generating an analog signal. The electromagnetic shield is capable of shielding the analog signal module. The electromagnetic shield includes a plurality of covering plates. Each of the covering plates and the analog signal module are apart from at least a predetermined distance.
    Type: Application
    Filed: November 14, 2013
    Publication date: May 14, 2015
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chia-Ching LI, Wei-Hao WU, Li-Hsiang CHAO, Bo-Wei WANG, Yen-Yu CHEN, Wei ZHANG
  • Publication number: 20150132970
    Abstract: An apparatus for processing reaction products that are deposited when an etching target film contained in a target object to be processed is etched is provided with: a processing chamber; a partition plate; a plasma source; a mounting table; a first processing gas supply unit; a second processing gas supply unit. The processing chamber defines a space, and the partition plate is arranged within the processing chamber and divides the space into a plasma generating space and a substrate processing space, while suppressing permeation of ions and vacuum ultraviolet rays. The plasma source generates a plasma in the plasma forming space. The mounting table is arranged in the substrate processing space to mount the target object thereon.
    Type: Application
    Filed: April 16, 2013
    Publication date: May 14, 2015
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Eiichi Nishimura, Akitaka Shimizu, Fumiko Yamashita, Daisuke Urayama
  • Publication number: 20150102011
    Abstract: A plasma etching apparatus includes first, second and third chambers, and a plasma generation device. An inner cross-sectional area and shape of the second chamber interior substantially corresponds to the upper surface of a substrate, and a substrate support is disposed so that, in use, the substrate is substantially in register with the interior of the second chamber, and the upper surface of the substrate is positioned at a distance of 80 mm or less from the interface between the second and third chambers.
    Type: Application
    Filed: May 23, 2014
    Publication date: April 16, 2015
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventor: MAXIME VARVARA
  • Patent number: 8986458
    Abstract: The present invention provides a plasma processing apparatus capable of bringing plasma close to a processing target and separating the plasma from the processing target. The plasma processing apparatus 1 according to the present invention has a chamber internally having a holding space 2a in which a processing target object 5 is held, and a plasma space 2b in which plasma is to be formed, a plasma gun 3 for emitting electrons into the plasma space 2b to form the plasma, and at least one pair of position-adjustable opposed magnets 4 for forming a magnetic flux passing across the chamber 2, between the holding space 2a and the plasma space 2b.
    Type: Grant
    Filed: June 20, 2013
    Date of Patent: March 24, 2015
    Assignee: Chugai Ro Co., Ltd.
    Inventor: Shinya Akano
  • Patent number: 8980045
    Abstract: A consumable ceramic liner can be used for connecting a gas outlet channel of a remote chamber to a gas inlet channel of a substrate cleaning chamber. The ceramic liner comprises an inlet cylinder having an outer diameter sized to fit in the gas outlet channel of the remote chamber, and an outlet cylinder connected to the gas inlet channel of the substrate cleaning chamber. A conical flare joins the inlet cylinder to the outlet cylinder.
    Type: Grant
    Filed: May 17, 2011
    Date of Patent: March 17, 2015
    Assignee: Applied Materials, Inc.
    Inventors: Martin Riker, Wei W. Wang
  • Publication number: 20150069017
    Abstract: A system for processing a substrate includes a plasma chamber to generate a plasma therein. The system also includes a process chamber to house the substrate, where the process chamber is adjacent the plasma chamber. The system also includes a rotatable extraction electrode disposed between the plasma chamber and substrate, where the rotatable extraction electrode is configured to extract an ion beam from the plasma, and configured to scan the ion beam over the substrate without movement of the substrate by rotation about an extraction electrode axis.
    Type: Application
    Filed: September 7, 2013
    Publication date: March 12, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventor: James P. Buonodono
  • Publication number: 20150072533
    Abstract: Provided is a method of etching a silicon oxide film, which includes supplying a mixture gas of a halogen element-containing gas and a basicity gas onto a surface of the silicon oxide film; modifying the silicon oxide film to produce a reaction product; and heating the reaction product to remove the reaction product. Modifying the silicon oxide film and heating the reaction product are performed using one chamber. In heating the reaction product, the reaction product is selectively heated by a heating unit.
    Type: Application
    Filed: September 8, 2014
    Publication date: March 12, 2015
    Inventors: Yusuke MURAKI, Shigeru KASAI, Tomohiro SUZUKI
  • Patent number: 8967080
    Abstract: A plasma generation chamber of a plasma processing apparatus is closed by a top plate 3. The top plate 3 has recesses 3A on its surface facing the plasma generation chamber and a central recess 3B on an opposite surface. The top plate 3 is coupled to an antenna thereon. If a microwave is supplied to the antenna, the microwave is radiated through slots of the antenna. The microwave is propagated through the top plate 3 such that the microwave has a plane of polarization and the microwave forms a circularly polarized wave as a whole. Here, resonance absorption of the microwave occurs at a side surface of recesses 3A and the microwave is propagated within the recesses 3A in a single mode. Strong plasma can be generated within each of the recesses 3A, so that a stable plasma mode can be generated in the top plate 3.
    Type: Grant
    Filed: February 10, 2009
    Date of Patent: March 3, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Caizhong Tian, Kiyotaka Ishibashi, Toshihisa Nozawa
  • Patent number: 8944003
    Abstract: A system and method for generating and using plasma is provided. An embodiment comprises a plasma generating unit that comprises beta-phase aluminum oxide. A precursor material is introduced to the plasma generating unit and a plasma is induced from the precursor material. The plasma may be used to deposit or etch materials on a semiconductor substrate.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Fei-Fan Chen, Wen-Sheng Wu, Chien Kuo Huang
  • Publication number: 20150024609
    Abstract: A processing chamber including a reaction chamber having a processing area, a processing gas inlet in communication with the processing area, a first excited species generation zone in communication with the processing gas inlet and a second exited species generation zone in communication with the processing gas inlet. A method of processing a substrate including the steps of loading a substrate within a processing area, activating a first excited species generation zone to provide a first excited species precursor to the processing area during a first pulse and, activating a second excited species generation zone to provide a second excited species precursor different from the first excited species precursor to the processing area during a second pulse.
    Type: Application
    Filed: July 22, 2013
    Publication date: January 22, 2015
    Applicant: ASM IP Holding B.V.
    Inventors: Robert Brennan Milligan, Fred Alokozai
  • Publication number: 20150024594
    Abstract: A semiconductor substrate processing apparatus includes a cooled pin lifter paddle for raising and lowering a semiconductor substrate. The semiconductor substrate processing apparatus comprises a processing chamber in which the semiconductor substrate is processed, a heated pedestal for supporting the semiconductor substrate in the processing chamber, and the cooled pin lifter paddle located below the pedestal. The cooled pin lifter paddle includes a heat shield and at least one flow passage in an outer peripheral portion thereof through which a coolant can be circulated to remove heat absorbed by the heat shield of the cooled pin lifter paddle. The cooled pin lifter paddle is vertically movable such that lift pins on an upper surface of the heat shield travel through corresponding holes in the pedestal and a source of coolant is in flow communication with the at least one flow passage.
    Type: Application
    Filed: July 17, 2013
    Publication date: January 22, 2015
    Inventors: Andreas Fischer, Dean Larson
  • Publication number: 20140374024
    Abstract: Embodiments of an apparatus for removing particles from a twin chamber processing system are provided herein. In some embodiments, an apparatus for removing particles from a twin chamber processing system includes a remote plasma system; and a plurality of conduits fluidly coupling the remote plasma system to each process chamber of a twin chamber processing system to provide a plasma to an exhaust volume of each process chamber, wherein each conduit of the plurality of conduits has an outlet disposed along a boundary of the respective exhaust volumes.
    Type: Application
    Filed: August 8, 2013
    Publication date: December 25, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: ANDREW NGUYEN, TOM K. CHO, KARTIK RAMASWAMY, YOGANANDA SARODE VISHWANATH
  • Publication number: 20140367045
    Abstract: The chamber, having a ceramic window disposed in a ceiling of the chamber is provided. Included is a ceramic support having a plurality of spokes that extend from a center region to an outer periphery, and each of the spokes include a hammerhead shape that radially expands the ceramic support in a direction that is away from an axis of a spoke. Also included is a plurality of screw holes disposed through the ceramic support. The plurality of screw holes defined to enable screws to connect to a TCP coil having an inner and outer coil. The outer coil is to be disposed under the hammerhead shape of each of the spokes, and a radial gap is defined between each of the hammerhead shapes. The radial gap defines a non-continuous ring around the outer coil. A plurality of screws are disposed through the screw holes for attaching the TCP coil.
    Type: Application
    Filed: June 21, 2013
    Publication date: December 18, 2014
    Inventors: Maolin Long, Alex Paterson
  • Patent number: 8912077
    Abstract: Methods of dicing semiconductor wafers, each wafer having a plurality of integrated circuits, are described. A method includes forming a mask above the semiconductor wafer, the mask composed of a layer covering and protecting the integrated circuits. The semiconductor wafer is supported by a substrate carrier. The mask is then patterned with a laser scribing process to provide a patterned mask with gaps, exposing regions of the semiconductor wafer between the integrated circuits. The semiconductor wafer is then etched through the gaps in the patterned mask to singulate the integrated circuits while supported by the substrate carrier.
    Type: Grant
    Filed: June 15, 2011
    Date of Patent: December 16, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Saravjeet Singh, Brad Eaton, Ajay Kumar, Wei-Sheng Lei, James M. Holden, Madhava Rao Yalamanchili, Todd J. Egan
  • Publication number: 20140352889
    Abstract: An apparatus for processing a semiconductor workpiece includes a first chamber having a first plasma production source and a first gas supply for introducing a supply of gas into the first chamber, a second chamber having a second plasma production source and a second gas supply for introducing a supply of gas into the second chamber, a workpiece support positioned in the second chamber, and a plurality of gas flow pathway defining elements for defining a gas flow pathway in the vicinity of the workpiece when positioned on the workpiece support. The gas flow path defining elements include at least one wafer edge region protection element for protecting the edge of the wafer and/or a region outwardly circumjacent to the edge of the wafer, and at least one auxiliary element spaced apart from the wafer edge region protection element to define the gas flow pathway.
    Type: Application
    Filed: May 23, 2014
    Publication date: December 4, 2014
    Applicant: SPTS TECHNOLOGIES LIMITED
    Inventors: OLIVER ANSELL, BRIAN KIERNAN, TOBY JEFFERY, MAXIME VARVARA
  • Patent number: 8900398
    Abstract: An arrangement for performing pressure control within a processing chamber substrate processing is provided. The arrangement includes a peripheral ring configured at least for surrounding a confined chamber volume that is configured for sustaining a plasma for etching the substrate during substrate processing. The peripheral ring includes a plurality of slots that is configured at least for exhausting processed byproduct gas from the confined chamber volume during substrate processing. The arrangement also includes a conductive control ring that is positioned next to the peripheral ring and is configured to include plurality of slots. The pressure control is achieved by moving the conductive control ring relative to the peripheral ring such that a first slot on the peripheral ring and a second slot on the conductive control ring are offset with respect to one another in a range of zero offset to full offset.
    Type: Grant
    Filed: August 31, 2010
    Date of Patent: December 2, 2014
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Michael C. Kellogg, Babak Kadkhodayan, Andrew D. Bailey, III
  • Publication number: 20140318710
    Abstract: A plasma generating apparatus is provided which includes an RF power which provides an RF signal; a plasma chamber which generates a plasma using the RF signal; a plurality of isolation loops which are formed along a circumference of the plasma chamber; and a plurality of electromagnetic applicators which are respectively coupled with the isolation loops and applies an electromagnetic field to the plasma chamber in response to the RF signal, wherein impedance values of the electromagnetic applicators increase according to an increase in a distance from an input terminal.
    Type: Application
    Filed: July 19, 2013
    Publication date: October 30, 2014
    Applicant: PSK INC.
    Inventors: Hee Sun CHAE, Jeonghee CHO, Jong Sik LEE, Han Saem LEE, Hyun Jun KIM
  • Patent number: 8859432
    Abstract: Bare aluminum baffles are adapted for resist stripping chambers and include an outer aluminum oxide layer, which can be a native aluminum oxide layer or a layer formed by chemically treating a new or used bare aluminum baffle to form a thin outer aluminum oxide layer.
    Type: Grant
    Filed: October 31, 2012
    Date of Patent: October 14, 2014
    Assignee: Lam Research Corporation
    Inventors: Fred D. Egley, Michael S. Kang, Anthony L. Chen, Jack Kuo, Hong Shih, Duane Outka, Bruno Morel
  • Patent number: 8852389
    Abstract: There is provided a plasma processing apparatus capable of stably generating plasma by suppressing oscillation of a plasma potential, and capable of preventing contamination caused by sputtering a facing electrode made of metal. A high frequency bias power is applied to an electrode within a mounting table for mounting a target object thereon. An extended protrusion 60 is formed at an inner peripheral surface of a cover member 27. The extended protrusion 60 is formed toward a plasma generation space S and serves as a facing electrode facing an electrode 7 within a mounting table 5 with the plasma generation space S therebetween. A ratio of a surface area of the facing electrode with respect to that of an electrode for bias (facing electrode surface area/bias electrode area) is in a range of from about 1 to about 5.
    Type: Grant
    Filed: September 15, 2011
    Date of Patent: October 7, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Taichi Monden, Junichi Kitagawa, Jun Yamashita, Hideo Nakamura
  • Patent number: 8841574
    Abstract: An apparatus and method to extend and concentrate a plasma from one or more plasma sources through at least one RF grounded pathway. A first embodiment of the invention involves a method to extend and concentrate a plasma. A second embodiment of the invention involves an apparatus to extend and concentrate a plasma. In some embodiments, an electrostatic rod inserted inside a RF grounded pathway assists the extension and concentration of a plasma that can treat one or more articles.
    Type: Grant
    Filed: November 18, 2013
    Date of Patent: September 23, 2014
    Inventor: Georges J. Gorin
  • Publication number: 20140273482
    Abstract: A manufacturing method of a semiconductor device including arranging a compound semiconductor above a stage of a chamber, supplying an etching gas into the chamber, and generating a plasma in the chamber is provided. The compound semiconductor includes a group-III element nitride as a main component. A surface of the compound semiconductor is processed by a dry etching. Light is irradiated into the chamber during the generating of the plasma. A dry etching apparatus including a chamber including a stage, on which a compound semiconductor is mounted, and a light source irradiating light into the chamber is provided. The chamber is supplied with an etching gas. A plasma is generated in the chamber. A surface of the compound semiconductor is an object of a dry etching.
    Type: Application
    Filed: January 20, 2014
    Publication date: September 18, 2014
    Applicant: DENSO CORPORATION
    Inventors: Yoshinori TSUCHIYA, Shinichi HOSHI, Masaki MATSUI
  • Publication number: 20140262038
    Abstract: Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.
    Type: Application
    Filed: April 7, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Anchuan Wang, Xinglong Chen, Zihui Li, Hiroshi Hamana, Zhijun Chen, Ching-Mei Hsu, Jiayin Huang, Nitin K. Ingle, Dmitry Lubomirsky, Shankar Venkataraman, Randhir Thakur
  • Patent number: 8815014
    Abstract: A method and system for plasma-assisted thin film vapor deposition on a substrate is described. The system includes a process chamber including a first process space having a first volume, a substrate stage coupled to the process chamber and configured to support a substrate and expose the substrate to the first process space, a plasma generation system coupled to the process chamber and configured to generate plasma in at least a portion of the first process space, and a vacuum pumping system coupled to the process chamber and configured to evacuate at least a portion of the first process space. The system further includes a process volume adjustment mechanism coupled to the process chamber and configured to create a second process space that includes at least a part of the first process space and that has a second volume less than the first volume, the substrate being exposed to the second process space.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jacques Faguet, Masahide Iwasaki, Toshihisa Nozawa
  • Patent number: 8808496
    Abstract: The invention provides a plurality of plasma tuning rod subsystems. The plasma tuning rod subsystems can comprise one or more microwave cavities configured to couple electromagnetic (EM) energy in a desired EM wave mode to a plasma by generating resonant microwave energy in one or more plasma tuning rods within and/or adjacent to the plasma. One or more microwave cavity assemblies can be coupled to a process chamber, and can comprise one or more tuning spaces/cavities. Each tuning space/cavity can have one or more plasma tuning rods coupled thereto. Some of the plasma tuning rods can be configured to couple the EM energy from one or more of the resonant cavities to the process space within the process chamber and thereby create uniform plasma within the process space.
    Type: Grant
    Filed: September 30, 2011
    Date of Patent: August 19, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Jianping Zhao, Lee Chen, Merritt Funk, Toshihiko Iwao, Peter L. G. Ventzek
  • Publication number: 20140227881
    Abstract: An exemplary system may include a chamber configured to contain a semiconductor substrate in a processing region of the chamber. The system may include a first remote plasma unit fluidly coupled with a first access of the chamber and configured to deliver a first precursor into the chamber through the first access. The system may still further include a second remote plasma unit fluidly coupled with a second access of the chamber and configured to deliver a second precursor into the chamber through the second access. The first and second access may be fluidly coupled with a mixing region of the chamber that is separate from and fluidly coupled with the processing region of the chamber. The mixing region may be configured to allow the first and second precursors to interact with each other externally from the processing region of the chamber.
    Type: Application
    Filed: March 8, 2013
    Publication date: August 14, 2014
    Applicant: Applied Materials, Inc.
    Inventor: Applied Materials, Inc.
  • Patent number: 8800483
    Abstract: A plasma deposition apparatus includes a waveguide conduit having a plurality of slots therein. The waveguide conduit is coupled to a microwave source for transmitting microwaves from the microwave source through the plurality of slots. One or more pipes have an outlet end positioned at each of the plurality of slots for transporting material from one or more material sources to the plurality of slots. The apparatus also includes a plasma chamber in communication with the waveguide tube through the plurality of slots. The plasma chamber receives through said plurality of slots microwaves from the waveguide tube and material to be melted or evaporated from the one or more pipes. The plasma chamber includes a plurality of magnets disposed in an outer wall of the plasma chamber for forming a magnetic field in the plasma chamber. The plasma chamber further includes one or more outlet openings for discharging plasma containing material to be deposited on a substrate.
    Type: Grant
    Filed: May 7, 2010
    Date of Patent: August 12, 2014
    Inventor: Peter F. Vandermeulen