By Microwave Patents (Class 156/345.36)
  • Patent number: 6994769
    Abstract: An apparatus configured to remove chamber deposits between process operations is provided. The processing chamber includes a top electrode in communication with a power supply. A processing chamber defined within a base, a sidewall extending from the base, and a top disposed on the sidewall is provided. The processing chamber has an outlet enabling removal of fluids within the processing chamber. The processing chamber includes a substrate support and an inner surface of the processing chamber defined by the base, the sidewall and the top. The inner surface is coated with a fluorine containing polymer coating. The fluorine containing polymer coating is configured to release fluorine upon creation of an oxygen plasma in the processing chamber to remove a residue deposited on the fluorine containing polymer coating. The residue was deposited on the polymer coating from a processing operation performed in the processing chamber.
    Type: Grant
    Filed: June 29, 2004
    Date of Patent: February 7, 2006
    Assignee: Lam Research Corporation
    Inventors: Harmeet Singh, John E. Daugherty, Vahid Vahedi, Saurabh J. Ullal
  • Patent number: 6921437
    Abstract: The present invention provides a gas distribution apparatus useful in semiconductor manufacturing. The gas distribution apparatus comprises a unitary member and a gas distribution network formed within the unitary member for uniformly delivering a gas into a process region. The gas distribution network is formed of an inlet passage extending upwardly through the upper surface of the unitary member for connecting to a gas source, a plurality of first passages converged at a junction and connected with the inlet passage at the junction, a plurality of second passages connected with the plurality of first passages, and a plurality of outlet passages connected with the plurality of second passages for delivering the gas into a processing region. The first passages extend radially and outwardly from the junction to the periphery surface of the unitary member, and the second passages are non-perpendicular to the first passages and extend outwardly from the first passages to the periphery surface.
    Type: Grant
    Filed: May 26, 2004
    Date of Patent: July 26, 2005
    Assignee: Aviza Technology, Inc.
    Inventors: Jay Brian DeDontney, Jack Chihchieh Yao
  • Patent number: 6916400
    Abstract: Provided is a device for the microwave-sustained plasma treatment of gases, which comprises a hollow structure forming a waveguide intended to be connected to a microwave generator, and means for making the gas to be treated flow through the said structure in a region in which the amplitude of the electric field associated with the incident wave is high. The means for making the gas flow comprise a plasma torch for producing a plasma in the gas. The torch comprises an injector made of an electrically conducting material mounted on a first large face of the said structure and extending so as to project through an orifice made in a second large face opposite the said first large face. A gap for passage of the incident waves lies around the injector.
    Type: Grant
    Filed: October 26, 2001
    Date of Patent: July 12, 2005
    Assignee: L'Air Liquide Societe Anonyme a Directoire et Conseil de Surveillance pour l'Etude et l'Exploitation des Procedex Georges Claude
    Inventors: Michel Moisan, Zakrzewski Zenon, Danielle Keroack, Jean-Christophe Rostaing
  • Patent number: 6910441
    Abstract: Plasma processing equipment includes a process, a cover covering the top of the process chamber, a wafer chuck disposed in the process chamber, a pressure regulating system including a pressure regulating plate situated at the bottom surface of the cover, and an elevating mechanism for adjusting the position of the pressure regulating plate, and a measuring device including at least one visual display for use in calibrating the pressure regulating system.
    Type: Grant
    Filed: May 28, 2003
    Date of Patent: June 28, 2005
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Dong-Jun Jang
  • Patent number: 6891123
    Abstract: Method and an apparatus for igniting plasma in a semiconductor manufacturing apparatus are disclosed. An example plasma ignition method and apparatus sets a predetermined pressure, source power and bias power of a chamber and flows a predetermined flow rate of CHF3 and Ar gases into the chamber, introduces a predetermined flow rate of Cl2 gas into the chamber, completes the supply of Cl2 gas, and ignites plasma.
    Type: Grant
    Filed: December 30, 2003
    Date of Patent: May 10, 2005
    Assignee: DongbuAnam Semiconductor Inc.
    Inventor: Sang Hun Oh
  • Patent number: 6863021
    Abstract: A General Metal Delivery Source (GMDS) for delivery of volatile metal compounds in gaseous form to processing apparatus has a reaction chamber holding a solid metal source material and connecting to the processing apparatus, and having an outlet for provision of the volatile metal compounds, a source heater coupled to the reaction chamber for heating said solid metal source material, a gas source for providing a reactive gas, a gas delivery conduit from the gas source to the reaction chamber for delivering gas species to the reaction chamber; and a plasma generation apparatus coupled to the gas delivery conduit. The plasma generation apparatus dissociates reactive gas molecules providing monatomic reactive species to the reaction chamber, and the monatomic reactive species combine with metal from the heated solid metal source material forming the volatile metal compounds.
    Type: Grant
    Filed: November 14, 2002
    Date of Patent: March 8, 2005
    Assignee: Genus, Inc.
    Inventor: Ofer Sneh
  • Patent number: 6764572
    Abstract: A batch-type etching device and a method, which enable a stable process with high reproducibility by preventing contamination of CVD equipment by effectively removing H2O, CH3OH or CH3COOH and by-products adsorbing and remaining on the surface of a semiconductor wafer after etching is completed, are provided. The device comprises a reaction chamber, an exhaust port for evacuating the air inside the reaction chamber, a wafer-supporting boat for supporting at least one batch of semiconductor wafers inside the reaction chamber, a gas inlet port for introducing a reaction gas into the reaction chamber, and a microwave generator. The microwave generator is adapted to introduce microwaves into reaction chamber so that substances which adsorb and remain on the semiconductor wafers are desorbed and removed after etching is completed.
    Type: Grant
    Filed: February 5, 2002
    Date of Patent: July 20, 2004
    Assignee: ASM Japan K.K.
    Inventors: Akira Shimizu, Kunitoshi Nanba
  • Publication number: 20040129385
    Abstract: A pre-loaded plasma-based processing system comprises a pre-reaction plasma processing chamber, a power source disposed in operable communication with the pre-reaction plasma processing chamber, and a wafer plasma processing chamber disposed in fluid communication with the pre-reaction plasma processing chamber. The pre-reaction plasma processing chamber is configured to effect a plasma-based chemical reaction of reactant materials to produce a reactive radical. The wafer plasma processing chamber is configured to react the reactive radical with a species at a surface of a wafer disposed in the wafer plasma processing chamber. Other embodiments include a method of processing a wafer in a plasma environment and preloading of the reactive gas stream to prevent erosion of wafer masking or etch stop layers.
    Type: Application
    Filed: January 2, 2003
    Publication date: July 8, 2004
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Richard Wise, Mark Charles Hakey, Siddhartha Panda, Bomy A. Chen
  • Patent number: 6696662
    Abstract: Plasma processing is carried out at pressures of about atmospheric pressure, at pressures below atmospheric pressure, or at pressures above atmospheric pressure. The plasmas are generated using a RF power source and a rectangular waveguide. The plasmas can be used for applications such as materials processing and carrying out chemical reactions.
    Type: Grant
    Filed: May 25, 2001
    Date of Patent: February 24, 2004
    Assignee: Advanced Energy Industries, Inc.
    Inventors: Russell F. Jewett, Jason F. Elston
  • Patent number: 6683272
    Abstract: A plasma source for a spectrometer for spectrochemical analysis of a sample is characterized by use of the magnetic field component of applied microwave energy for exciting a plasma. The source includes a waveguide cavity (10) fed with TE10 mode microwave power. A plasma torch (16) passes through the cavity (10) and is axially aligned with a magnetic field maximum (18) of the applied microwave electromagnetic field. Magnetic field concentration structures such as triangular section metal bars (20) may be provided. In an alternative embodiment a resonant iris may be provided within a waveguide and the plasma torch positioned relative thereto such that the microwave electromagnetic field at the resonant iris excites the plasma.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: January 27, 2004
    Assignee: Varian Australia PTY LTD
    Inventor: Michael R. Hammer
  • Patent number: 6663715
    Abstract: A plasma CVD apparatus includes first and second electrodes, neutral gas introduction pipes, and a plasma confining electrode interposed between the first and second electrodes separating a plasma generation region and a substrate processing region. The plasma confining electrode has a hollow structure defined by an upper electrode plate, and a lower electrode plate and is connected to the neutral gas introduction pipes. A plurality of neutral gas passage holes are provided for the lower electrode plate and the gas diffusing plates to supply neutral gas into the substrate processing region. A total opening area of the plurality of neutral gas passage holes in the gas diffusing plate on a side of the upper electrode plate is smaller than that of the plurality of neutral gas passage holes in the gas diffusing plate on a side of the lower electrode plate.
    Type: Grant
    Filed: November 7, 2000
    Date of Patent: December 16, 2003
    Assignees: NEC Corporation, Anelva Corporation
    Inventors: Katsuhisa Yuda, Manabu Ikemoto
  • Patent number: 6660647
    Abstract: A surface processing method of a sample having a mask layer that does not contain carbon as a major component formed on a substance to be processed, the substance being a metal, semiconductor and insulator deposited on a silicon substrate, includes the steps of installing the sample on a sample board in a vacuum container, generating a plasma that consists of a mixture of halogen gas and adhesive gas inside the vacuum container, applying a radio frequency bias voltage having a frequency ranging from 200 kHz to 20 MHz on the sample board, and controlling a periodic on-off of the radio frequency bias voltage with an on-off control frequency ranging from 100 Hz to 10 kHz.
    Type: Grant
    Filed: January 11, 2001
    Date of Patent: December 9, 2003
    Assignee: Hitachi, Ltd.
    Inventors: Tetsuo Ono, Takafumi Tokunaga, Tadashi Umezawa, Motohiko Yoshigai, Tatsumi Mizutani, Tokuo Kure, Masayuki Kojima, Takashi Sato, Yasushi Goto
  • Publication number: 20030205328
    Abstract: A plasma processing system (10) is provided, having processor chamber walls (53) and/or a gas distribution or baffle plate (54) equipped with integral cooling passages (80, 156) for reducing an operating temperature thereof during processing of a wafer (18) by the system. Cooling medium inlets (158, 82) and outlets (160, 86) are connected to the cooling passages to permit circulation of a cooling medium through the cooling passages. Preferably, the chamber walls (53) and the gas distribution or baffle plate (54) are comprised of low-alloy anodized aluminum and the cooling passages are machined directly therein. The cooling medium may be either liquid (e.g., water) or gas (e.g., helium or nitrogen). The baffle plate (54) comprises a generally planar, apertured, gas distribution central portion (74) surrounded by a flange (78), into both of which the cooling passages may extend.
    Type: Application
    Filed: April 1, 2003
    Publication date: November 6, 2003
    Inventors: David W. Kinnard, Daniel B. Richardson
  • Patent number: 6641698
    Abstract: A dual plasma process generates a microwave neutral plasma remote from a semiconductor wafer and a radio frequency (RF) ionized plasma adjacent to the wafer for simultaneous application to the wafer. A first gas flows through a microwave plasma generation area, without a second gas in the gas flow, to generate the neutral microwave plasma. The second gas is added to the gas flow downstream of the microwave plasma generation area prior to an RF plasma generation area.
    Type: Grant
    Filed: August 1, 2002
    Date of Patent: November 4, 2003
    Assignee: LSI Logic Corporation
    Inventor: Alex Kabansky
  • Patent number: 6620290
    Abstract: A plurality of microwave introduction windows are placed at the top wall of the reaction chamber. Microwaves of the same power are introduced into, e.g., two microwave introduction windows that are equivalent in location relationship with respect to the sidewall of the reaction chamber, while microwaves of different power are introduced into, e.g., two microwave introduction windows that are non-equivalent in location relationship with respect to the sidewall. Thus, a cost-effective plasma process apparatus is obtained which can realize uniform plasma processing even if the plasma being generated within the reaction chamber has varied load impedance.
    Type: Grant
    Filed: January 12, 2001
    Date of Patent: September 16, 2003
    Assignees: Sharp Kabushiki Kaisha
    Inventors: Tatsushi Yamamoto, Masaya Okamoto, Masaki Hirayama, Tadahiro Ohmi
  • Patent number: 6607633
    Abstract: The present invention describes a plasma generating device comprising a wave guide; a radiative part having slot antennas, each of said slot antennas being adapted to radiate electromagnetic radiation; and a plasma generation chamber being connected to the radiative part via a window made of a dielectric material and being adapted to receive the electromagnetic radiation from the slot antennas; wherein the dimensions of the radiative part are locally modified or are locally changeable, so that the actual wavelength of the microwave is allowed to be locally changed, thereby the amplitude distribution of the electromagnetic radiation towards the plasma generation chamber can be controlled. The present invention also describes a plasma processing apparatus including such a plasma generating device.
    Type: Grant
    Filed: January 19, 2001
    Date of Patent: August 19, 2003
    Assignee: Y.A.C. Co, Ltd.
    Inventor: Takeshi Noguchi
  • Publication number: 20030136518
    Abstract: An apparatus and method that generates plasma using a microwave radiation supply. The plasma is used to treat a surface of a workpiece at approximately atmospheric pressure. Plasma excites a working gas to create an excited gaseous species without degradation from undue heat caused by the plasma. The gaseous species exit an outlet of the apparatus to treat the surface of a workpiece when the outlet is juxtaposed with the workpiece.
    Type: Application
    Filed: December 21, 2001
    Publication date: July 24, 2003
    Applicant: The Procter & Gamble Company
    Inventors: Vesselin Nikolov Shanov, Saswati Datta, Seved Farhad Miralai, John Andrew McDaniel
  • Publication number: 20030073322
    Abstract: A method for manufacturing a semiconductor device in accordance with an embodiment of the present invention includes a step of forming a TiN film 2 on an underlying film 1; a step of coating a photoresist film on the TiN film 2, and exposing and developing the photoresist film; a step of etching the TiN film 2 using the photoresist film 4a as a mask, by using an etching apparatus that etches an Al alloy film; a step of introducing a mixed gas containing O2 gas and N2 gas adjacent to the photoresist film, and plasmatizing the gas to thereby ash the photoresist film, and a step of introducing H2O gas adjacent to the TiN film, and plasmatizing the gas to thereby ash foreign matters on the TiN film.
    Type: Application
    Filed: June 27, 2002
    Publication date: April 17, 2003
    Inventor: Takumi Shibata
  • Publication number: 20030066486
    Abstract: A remote microwave plasma source includes a microwave transparent window and a heat shield featuring an aperture that is substantially coextensive with a cross section of the waveguide conveying microwave energy to the window. The enlarged size of the opening of the heat shield relative to conventional apertures reduces arcing and aluminum sputtering attributable to restriction in the electric field by the narrow conventional aperture dimensions. The presence of the heat shield also strengthens the window against thermal shock and fracture due to the harsh conditions of the chamber.
    Type: Application
    Filed: August 29, 2002
    Publication date: April 10, 2003
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Bo Zheng, Kenneth Tsai, Ken Kaung Lai
  • Patent number: 6502529
    Abstract: A chamber 35 for energizing a gas comprises a gas distributor 85 having an aperture 250 for introducing gas into the chamber 35 and a wall 175 comprising boron nitride. The chamber 35 further comprises a gas energizer 90 capable of passing electromagnetic energy through the wall 175 to energize the gas in the chamber 35.
    Type: Grant
    Filed: May 27, 1999
    Date of Patent: January 7, 2003
    Assignee: Applied Materials Inc.
    Inventor: Harald Herchen
  • Publication number: 20020179248
    Abstract: A dual plasma process generates a microwave neutral plasma remote from a semiconductor wafer and a radio frequency (RF) ionized plasma adjacent to the wafer for simultaneous application to the wafer. A first gas flows through a microwave plasma generation area, without a second gas in the gas flow, to generate the neutral microwave plasma. The second gas is added to the gas flow downstream of the microwave plasma generation area prior to an RF plasma generation area.
    Type: Application
    Filed: August 1, 2002
    Publication date: December 5, 2002
    Inventor: Alex Kabansky
  • Patent number: 6450116
    Abstract: An apparatus and method for exposing a substrate to plasma including a first reaction chamber adapted to generate a plasma comprising ions and radicals and a second reaction chamber coupled to the first reaction chamber and adapted to house a substrate at a sight in the second reaction chamber. The second reaction chamber is coupled to the first reaction chamber by an inlet member and radicals of the plasma flow through the inlet member into the second reaction chamber.
    Type: Grant
    Filed: November 12, 1999
    Date of Patent: September 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: David B. Noble, Ravi Jallepally, Nathan D'Astici, Gary Miner, Turgut Sahin, Guangcai Xing, Yashraj Bhatnagar
  • Patent number: 6450117
    Abstract: A substrate processing chamber 30 comprising a first gas distributor 65 adapted to provide a process gas into the chamber 30 to process the substrate 25, a second gas distributor 215 adapted to provide a cleaning gas into the chamber 30 to clean the chamber, and an exhaust 90 to exhaust the process gas or cleaning gas from the chamber 30.
    Type: Grant
    Filed: August 7, 2000
    Date of Patent: September 17, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Laxman Murugesh, Padmanaban Krishnaraj, Michael Cox, Canfeng Lai, Narendra Dubey, Tom K. Cho, Sudhir Ram Gondhalekar, Lily L. Pang
  • Patent number: 6439155
    Abstract: A remote plasma generator, coupling microwave frequency energy to a gas and delivering radicals to a downstream process chamber, includes several features which, in conjunction, enable highly efficient radical generation. In the illustrated embodiments, more efficient delivery of oxygen and fluorine radicals translates to more rapid photoresist etch or ash rates. A single-crystal, one-piece sapphire applicator and transport tube minimizes recombination of radicals in route to the process chamber and includes a bend to avoid direct line of sight from the glow discharge to the downstream process chamber. Microwave transparent cooling fluid within a cooling jacket around the applicator enables high power, high temperature plasma production. Additionally, dynamic impedance matching via a sliding short at the terminus of the microwave cavity reduces power loss through reflected energy. At the same time, a low profile microwave trap produces a more dense plasma to increase radical production.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: August 27, 2002
    Assignee: Matrix Integratea Systems Inc.
    Inventors: Mohammad Kamarehi, Gerald M. Cox
  • Publication number: 20020112819
    Abstract: A remote plasma generator, coupling microwave frequency energy to a gas and delivering radicals to a downstream process chamber, includes several features which, in conjunction, enable highly efficient radical generation. In the illustrated embodiments, more efficient delivery of oxygen and fluorine radicals translates to more rapid photoresist etch or ash rates. A single-crystal, one-piece sapphire applicator and transport tube minimizes recombination of radicals in route to the process chamber and includes a bend to avoid direct line of sight from the glow discharge to the downstream process chamber. Microwave transparent cooling fluid within a cooling jacket around the applicator enables high power, high temperature plasma production. Additionally, dynamic impedance matching via a sliding short at the terminus of the microwave cavity reduces power loss through reflected energy. At the same time, a low profile microwave trap produces a more dense plasma to increase radical production.
    Type: Application
    Filed: December 22, 2000
    Publication date: August 22, 2002
    Inventors: Mohammad Kamarehi, Gerald M. Cox
  • Patent number: 6435131
    Abstract: An ion flow forming method and apparatus for attracting ions from a plasma generated in a plasma generation chamber and forming a flow of the ions are disclosed. This ion flow forming apparatus includes the plasma generation chamber having a plasma diffusion outlet port, a processing chamber accommodating a target object, for example, two electrodes arranged between the plasma generation chamber and the target object in the processing chamber, and a potential control unit. This potential control unit controls voltages to be applied to the plasma generation chamber, the two electrodes, and the processing chamber, so that the step of diffusing the plasma generated in the plasma generation chamber in a space between the two electrodes, the ion attraction step of repelling electrons in the diffused plasma toward the plasma generation chamber and attracting the ions in the plasma in an opposite direction, and the ion flow formation step of directing the ions toward the target object are sequentially performed.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: August 20, 2002
    Assignee: Tokyo Electron Limited
    Inventor: Koji Koizumi
  • Patent number: 6431114
    Abstract: The present invention aims to decrease reflected waves in a vacuum chamber to suppress standing waves, thereby easily controlling a plasma density so that uniform treatment can be performed. An electromagnetic wave absorber 6 composed of a resistor such as carbon, a dielectric having a large dielectric loss, such as water, or a magnetic material such as ferrite-based ceramic, or a combination of these, is provided on an inner wall surface of a first vacuum chamber 21. Microwaves introduced from a waveguide 25 into the first vacuum chamber 21 via a transmissive window 23 are absorbed to the electromagnetic wave absorber 6 to suppress reflected waves, whereby a plasma density distribution with a nearly planned pattern is easily formed at an ECR point.
    Type: Grant
    Filed: September 12, 2000
    Date of Patent: August 13, 2002
    Assignee: Tokyo Electron Limited
    Inventors: Issei Imahashi, Nobuo Ishii, Satoru Kawakami, Yoshinobu Kawai, Yoko Ueda
  • Patent number: 6412438
    Abstract: A remote plasma generator, coupling microwave frequency energy to a gas and delivering radicals to a downstream process chamber, includes several features which, in conjunction, enable highly efficient radical generation. In the illustrated embodiments, more efficient delivery of oxygen and fluorine radicals translates to more rapid photoresist etch or ash rates. A single-crystal, one-piece sapphire applicator and transport tube minimizes recombination of radicals in route to the process chamber and includes a bend to avoid direct line of sight from the glow discharge to the downstream process chamber. Microwave transparent cooling fluid within a cooling jacket around the applicator enables high power, high temperature plasma production. Additionally, dynamic impedance matching via a sliding short at the terminus of the microwave cavity reduces power loss through reflected energy. At the same time, a low profile microwave trap produces a more dense plasma to increase radical production.
    Type: Grant
    Filed: December 22, 2000
    Date of Patent: July 2, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventors: Mohammad Kamarehi, Gerald M. Cox