With Heating Or Cooling Means For Apparatus Part Other Than Workpiece Support Patents (Class 156/345.37)
  • Patent number: 8858753
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: October 14, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Jun Yamawaku, Tatsuo Matsudo, Masashi Saito
  • Patent number: 8852388
    Abstract: The present invention relates to a plasma processor capable of regulating the temperature of the inner surface of the processing chamber efficiently and with excellent response, with a low-cost configuration. A plasma processor 1 includes a processing chamber 11, a processing gas supply device 20, an exhaust device 40, coils 23, a high-frequency power supply unit 24, a heater 26, a cooling device 30, and a control device 50. The cooling device 30 is configured with a cooling member 32 facing the processing chamber 11 at a distance therefrom, a cooling fluid supply section 31 for supplying cooling fluid into a cooling passage 32a of the cooling member 32 and circulates it, and annular seal members 35 and 36 provided between the cooling member 32 and the processing chamber 11. The exhaust device 40 reduces the pressure in a space S surrounded by the seal members 35 and 36, the cooling member 32, and the processing chamber 11.
    Type: Grant
    Filed: February 26, 2008
    Date of Patent: October 7, 2014
    Assignee: SPP Technologies Co., Ltd.
    Inventor: Toshihiro Hayami
  • Publication number: 20140273520
    Abstract: Embodiments described herein generally relate to an apparatus and methods for reducing the deposition of polymers in a semiconductor processing chamber. A heater jacket and heat sources are provided and may be configured to maintain a uniform temperature profile of the processing chamber. A method of maintaining a uniform temperature profile of a dielectric ceiling of the processing chamber is also provided.
    Type: Application
    Filed: February 18, 2014
    Publication date: September 18, 2014
    Inventors: Robert CHEBI, Alfredo GRANADOS, Zhao H. CENG, Jianqi WANG, Rajan BALESAN
  • Patent number: 8828141
    Abstract: A substrate processing apparatus of the present invention comprises: a processing chamber for storing and processing substrates stacked in multiple stages in horizontal posture; a processing gas supply unit for supplying two or more types of the processing gases to the inside of the processing chamber; an inactive gas supply unit for supplying an inactive gas to the inside of the processing chamber; and an exhaust unit for exhausting an atmosphere of the inside of the processing chamber, wherein the processing gas supply unit has at least two processing gas supply nozzles which extend running along an inner wall of the processing chamber in the stacking direction of the substrates and supply the processing gas to the inside of the processing chamber, and the inactive gas supply unit has a pair of inactive gas supply nozzles which are provided so as to extend running along the inner wall of the processing chamber in the stacking direction of the substrates and so as to sandwich at least one processing gas supp
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: September 9, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Masanori Sakai, Yuji Takebayashi, Tsutomu Kato, Shinya Sasaki, Hirohisa Yamazaki
  • Patent number: 8828147
    Abstract: The invention relates to a device for loosening a polymer layer from a surface of a substrate.
    Type: Grant
    Filed: April 1, 2011
    Date of Patent: September 9, 2014
    Assignee: EV Group GmbH
    Inventors: Matt Crowder, Ronald Holzleitner, Thomas Glinsner, Friedrich Paul Lindner, Erich Thallner
  • Patent number: 8828185
    Abstract: A dry non-plasma treatment system and method for removing oxide material is described. The treatment system is configured to provide chemical treatment of one or more substrates, wherein each substrate is exposed to a gaseous chemistry under controlled conditions including surface temperature and gas pressure. Furthermore, the treatment system is configured to provide thermal treatment of each substrate, wherein each substrate is thermally treated to remove the chemically treated surfaces on each substrate.
    Type: Grant
    Filed: May 2, 2010
    Date of Patent: September 9, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Martin Kent, Eric J. Strang
  • Patent number: 8815016
    Abstract: A substrate processing apparatus includes a heating unit that heats a processing chamber that processes a plurality of substrates and that quickly cools the processing chamber after the processing. The heating unit includes a body having an intake port and an exhaust port, one or more heaters located inside the body, a cooler connected to the intake port of the body, an exhaust pump connected to the exhaust port of the body, and a controller controlling the cooler. The substrate processing apparatus includes a boat in which a plurality of substrates are stacked, a processing chamber providing a space in which the substrates are processed, a transfer unit carrying the boat into or out of the processing chamber, and the heating unit located outside the processing chamber.
    Type: Grant
    Filed: August 17, 2010
    Date of Patent: August 26, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Jong-Won Hong, Min-Jae Jeong, Heung-Yeol Na, Eu-Gene Kang, Seok-Rak Chang
  • Patent number: 8808454
    Abstract: A gas injection unit allows uniform cooling thereof via smooth flow of coolant and can be easily manufactured. The gas injection unit for a chemical vapor deposition apparatus includes, inter alia: a gas distribution housing; a cooling housing positioned between the gas distribution housing and a processing chamber where a deposition process is performed, and formed with a coolant inlet through which coolant is introduced, and a coolant outlet through which the coolant is discharged; a processing gas pipe of which one end is opened to the gas distribution housing and the other end is opened to the processing chamber, the processing gas pipe penetrating the cooling housing; and a first wall part positioned inside the cooling housing such that an inside of the cooling housing is partitioned into a central path and a peripheral path, and formed with a penetration hole such that the central path communicates with the peripheral path.
    Type: Grant
    Filed: July 26, 2010
    Date of Patent: August 19, 2014
    Assignee: LIGADP Co., Ltd.
    Inventor: Jae Moo Lee
  • Patent number: 8809727
    Abstract: The present invention relates to a heat treatment apparatus that performs activation annealing or defect repair annealing and surface oxidization which succeed impurity doping intended to control the conductive property of a semiconductor substrate. In the present invention, a sample to be heated is placed on a lower electrode in a plasma treatment chamber. A gap between an upper electrode and the lower electrode is filled with a gap whose main raw material is a rare gas (helium, argon, krypton, xenon, or the like) having a pressure close to the atmospheric pressure. A power fed from a high-frequency power supply is applied to the upper electrode in order to induce an atmospheric-pressure glow discharge. Gas heating in the gap between the electrodes, which depends on the glow discharge, is used to heat-treat the sample to be heated.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: August 19, 2014
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Ken'etsu Yokogawa, Masatoshi Miyake
  • Publication number: 20140227883
    Abstract: In a substrate processing apparatus, an outer edge portion of a substrate in a horizontal state is supported from below by an annular substrate supporting part, and a lower surface facing part having a facing surface facing a lower surface of the substrate is provided inside the substrate supporting part. A gas ejection nozzle for ejecting heated gas toward the lower surface is provided in the lower surface facing part, and the substrate is heated by the heated gas when an upper surface of the rotating substrate is processed with a processing liquid ejected from an upper nozzle. Further, a lower nozzle is provided in the lower surface facing part, to thereby perform a processing on the lower surface with a processing liquid. Since the gas ejection nozzle protrudes from the facing surface, a flow of the processing liquid into the gas ejection nozzle can be suppressed during the processing.
    Type: Application
    Filed: February 12, 2014
    Publication date: August 14, 2014
    Applicant: DAINIPPON SCREEN MFG. CO., LTD.
    Inventors: Kenji IZUMOTO, Takemitsu MIURA, Kenji KOBAYASHI, Kazuhide SAITO, Akihisa IWASAKI
  • Publication number: 20140209242
    Abstract: Substrate processing chamber components for use in substrate processing chambers are provided herein. In some embodiments, a substrate processing chamber component may include a body having a first surface, one or more heat exchangers disposed within the body below the first surface, and one or more anisotropic layers, wherein a separate anisotropic layer is disposed between each of the one or more heat exchangers and the first surface.
    Type: Application
    Filed: March 15, 2013
    Publication date: July 31, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: JENNIFER Y. SUN, BIRAJA PRASAD KANUNGO
  • Publication number: 20140193967
    Abstract: In a method of forming an epitaxial layer, an etching gas may be decomposed to form decomposed etching gases. A source gas may be decomposed to form decomposed source gases. The decomposed source gases may be applied to a substrate to form the epitaxial layer on the substrate. A portion of the epitaxial layer on a specific region of the substrate may be etched using the decomposed etching gases. Before the etching gas is introduced into the reaction chamber, the etching gas may be previously decomposed. The decomposed etching gases may then be introduced into the reaction chamber to etch the epitaxial layer on the substrate. As a result, the epitaxial layer on the substrate may have a uniform distribution.
    Type: Application
    Filed: January 10, 2014
    Publication date: July 10, 2014
    Applicants: Kookje Electric Korea Co., Ltd., Samsung Electronics Co., Ltd.
    Inventors: Sung-Ho KANG, Bong-Jin KUH, Yong-Kyu JOO, Sung-Ho HEO, Hee-Seok KIM, Yong-Sung PARK
  • Patent number: 8771420
    Abstract: A substrate processing apparatus that forms thin films on a plurality of substrates and thermally processes the substrates, by uniformly heating the substrates. The substrate processing apparatus includes a processing chamber, a boat in which substrates are stacked, an external heater located outside of the processing chamber, a feeder to move the boat into and out of the processing chamber, a lower heater located below the feeder, and a central heater located in the center of the boat.
    Type: Grant
    Filed: February 26, 2010
    Date of Patent: July 8, 2014
    Assignee: Samsung Display Co., Ltd.
    Inventors: Heung-Yeol Na, Ki-Yong Lee, Jin-Wook Seo, Min-Jae Jeong, Jong-Won Hong, Eu-Gene Kang, Seok-Rak Chang, Yun-Mo Chung, Tae-Hoon Yang, Byung-Soo So, Byoung-Keon Park, Dong-Hyun Lee, Kil-Won Lee, Jong-Ryuk Park, Bo-Kyung Choi, Ivan Maidanchuk, Won-Bong Baek, Jae-Wan Jung
  • Patent number: 8747555
    Abstract: Provided is a thin film production apparatus that enables cost reduction and improvement of deposition efficiency by employing a common component. In a thin film production apparatus according to the present invention, a volume of a reaction space is optimized by determining the volume of the reaction space with an inner block disposed inside a vacuum tank, that is, by merely altering a size of an inner diameter of the inner block without altering a size of the vacuum tank. Accordingly, film formation on plural kinds of substrates having different sizes becomes possible using the common vacuum tank. Further, increase of the number of apparatus structural components to be prepared for each size of the substrate to be processed can be minimized, whereby the cost of the components can be reduced, and, while simplifying assembling operation, product inspection operation, and adjusting operation, excellent deposition efficiency and stable film formation can be realized.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: June 10, 2014
    Assignee: Ulvac, Inc.
    Inventors: Takakazu Yamada, Osamu Irino, Tsuyoshi Kagami
  • Publication number: 20140151332
    Abstract: An apparatus for treating a substrate may include a process chamber. The process chamber may include a reaction space and an opening portion for receiving the substrate into the reaction space. The apparatus may further include a dielectric layer. The apparatus may further include a plurality of support elements disposed on the dielectric layer and configured to contact a bottom surface of the substrate for supporting the substrate. The plurality of support elements may include a first support element and a second support element immediately neighboring the first support element.
    Type: Application
    Filed: July 1, 2013
    Publication date: June 5, 2014
    Inventor: Takayuki FUKASAWA
  • Patent number: 8733280
    Abstract: A top assembly for a processing chamber having a back plate and a hub is provided. The back plate has a first portion and a second portion. The first portion is connected to the second portion through a central region of the back plate, wherein a gap is defined between opposing surfaces of the first and second portions outside the central region. The first portion includes an embedded heating element. The hub is affixed to a top surface of the second portion of the back plate over the central region. The hub has a top surface with a plurality of channel openings defined within a central region of the hub and a bottom surface having a central extension with a plurality of channels defined therethrough. The bottom surface includes an annular extension spaced apart from the central extension.
    Type: Grant
    Filed: December 20, 2010
    Date of Patent: May 27, 2014
    Assignee: Intermolecular, Inc.
    Inventors: Lipyeow Yap, Jay DeDontney, Shouqian Shao, Jason Wright
  • Patent number: 8703586
    Abstract: In order to form a high quality film without causing in-plane nonuniformity in film quality, an apparatus for forming deposited film according to an aspect of the present invention includes: a chamber; a first electrode located in the chamber; a second electrode that is located in the chamber with a predetermined spacing from the first electrode and includes a plurality of supply parts configured to supply material gases; an introduction path connected to the supply parts, through which the material gases are introduced; a heater located in the introduction path; and a cooling mechanism configured to cool the second electrode.
    Type: Grant
    Filed: September 24, 2010
    Date of Patent: April 22, 2014
    Assignee: KYOCERA Corporation
    Inventors: Norikazu Ito, Shinichiro Inaba, Hiroshi Matsui, Koichiro Niira
  • Publication number: 20140102641
    Abstract: Disclosed herein is a field enhanced inductively coupled plasma processing apparatus including a process chamber having a dielectric lid, and a plasma source assembly disposed above the dielectric lid. The plasma source assembly includes at least one horizontal inductive coil configured to inductively couple RF energy into the process chamber to form and maintain plasma in the process chamber, at least one power applying electrode electrically connected to the horizontal inductive coil to capacitively couple the RF energy into the process chamber, a first positioning mechanism coupled to the power applying electrode to change a horizontal position of the power applying electrode, and an RF generator coupled to the at least one power applying electrode. The apparatus further includes a vertical inductive coil connected to the horizontal inductive coil, and a second positioning mechanism shifting an entire vertical position of the vertical inductive coil or changing the pitch thereof.
    Type: Application
    Filed: October 11, 2012
    Publication date: April 17, 2014
    Applicant: SMATEK CO., LTD
    Inventor: Soo-Hyun Lee
  • Patent number: 8692166
    Abstract: A device for heating a substrate with light from a flash lamp having a semiconductor switch connected in series to the flash lamp. After triggering of a trigger electrode of the flash lamp, a first drive signal and a second drive signal are output from a gate circuit. The time period when the semiconductor switch is on due to the second drive signal is longer than the time period that the semiconductor switch is on by the first drive signal. Then, the semiconductor switch is switched on and off by the first drive signal and the substrate temperature is increased to a temperature, which is lower than the desired temperature to be targeted, and is maintained a that temperature for a short time, after which the surface temperature of the substrate is increased to the desired target temperature.
    Type: Grant
    Filed: February 19, 2013
    Date of Patent: April 8, 2014
    Assignee: Ushio Denki Kabushiki Kaisha
    Inventor: Takehiko Yokomori
  • Publication number: 20140083615
    Abstract: A plasma processing chamber includes a chamber body having a substrate support on which the substrate to be processed is placed, a dielectric window forming a ceiling of the chamber body, an inductive antenna set on a upper part of the dielectric window and configured to supply an electromotive force generating plasmas into the chamber body, a cooling water supplier configured to supply cooling water into the inductive antenna, a heating plate set on a upper part of the inductive antenna, and a heat conductive member filled in a space between the heating plate and the dielectric window to contact the heating plate, the inductive antenna and the dielectric window, wherein the heat conductive member makes the dielectric window to have a uniform heat distribution through the heat conduction between the inductive antenna and the dielectric window, and the heat conduction between the heating plate and the dielectric window.
    Type: Application
    Filed: March 14, 2013
    Publication date: March 27, 2014
    Applicant: GEN CO., LTD.
    Inventors: Gyoo-Dong KIM, Sung-Yong KANG
  • Patent number: 8668775
    Abstract: A shower head for a chemical vapor deposition chamber can have a housing, a plurality of bosses formed upon the housing, and an inside cover. The bosses can have bores formed therethrough. The inside cover can be attached to the bosses and can have apertures formed therein such that the apertures are generally contiguous with the bores. The housing, the bosses, and the inside cover cooperate to communicate water through the shower head. The water can cool the shower head to a temperature that is substantially lower than the temperature of other parts of the chemical vapor deposition chamber, e.g., the susceptor thereof.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: March 11, 2014
    Assignee: Toshiba Techno Center Inc.
    Inventors: Vahid S. Moshtagh, Jeffrey C. Ramer
  • Publication number: 20140060738
    Abstract: Provided is a substrate treating apparatus using plasma. A substrate treating apparatus includes a chamber having a treating space therein, a support member disposed in the chamber to support the substrate, a gas supply unit supplying a gas into the chamber, and a plasma source disposed on an upper portion of the camber, the plasma source including an antenna generating plasma from the gas supplied into the chamber, wherein the chamber includes a housing having an opened top surface, the housing having a treating space therein, and a dielectric substance assembly covering the opened top surface of the housing, and wherein the dielectric substance assembly includes a dielectric substance window and a reinforcement film having strength greater than that of the dielectric substance window.
    Type: Application
    Filed: August 28, 2013
    Publication date: March 6, 2014
    Applicant: SEMES CO., LTD.
    Inventors: Hyung Joon KIM, Jae Min ROH
  • Patent number: 8652260
    Abstract: Apparatus for holding semiconductor wafers during semiconductor manufacturing processes are disclosed. In one embodiment, the apparatus comprises a heat-conductive layer disposed on a supporting base. The apparatus also comprises a plurality of holes formed through the heat-conductive layer and the supporting base. The apparatus further comprises a plurality of heat-conductive lift pins that extend through the holes over the heat-conductive layer at the top end, and make a direct contact with a wafer substrate. The heat-conductive layer and the lift pins are connected to a heating circuit.
    Type: Grant
    Filed: December 31, 2008
    Date of Patent: February 18, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Hua Yu, Chien Ling Hwang
  • Patent number: 8647438
    Abstract: A baffle assembly for an etching apparatus is disclosed. The baffle assembly comprises a ring and a lower baffle portion having a curved wall extending between a flange portion and a lower frame portion. A heating assembly may be present within the lower frame portion to control the temperature of the baffle. The baffle assembly may help confine the plasma within the processing space in the chamber. The ring may comprise silicon carbide and the lower baffle portion may comprise aluminum.
    Type: Grant
    Filed: April 24, 2008
    Date of Patent: February 11, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Daniel J. Hoffman, Kallol Bera
  • Publication number: 20140020835
    Abstract: A plasma reactor has an overhead multiple coil inductive plasma source with symmetric RF feeds and a symmetrical chamber exhaust with plural struts through the exhaust region providing access to a confined workplace support. A grid may be included for masking spatial effects of the struts from the processing region.
    Type: Application
    Filed: November 1, 2012
    Publication date: January 23, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Andrew Nguyen, Kenneth S. Collins, Kartik Ramaswamy, Shahid Rauf, James D. Carducci, Douglas A. Buchberger, JR., Ankur Agrawal, Jason A. Kenney, Leonid Dorf, Ajit Balakrishna, Richard Fovell
  • Publication number: 20140024142
    Abstract: A plasma processing tool for fabricating a semiconductor device on a semiconductor wafer includes an optical window disposed on a plasma chamber, remotely from a plasma region. The window is thermally connected to an electrical heater element capable of maintaining the window at a temperature of at least 30° C. A heater controller provides electrical power to the heater element. During operation of the plasma processing tool, the heater controller provides power to the heater element so as to maintain the window at a temperature of at least 30° C. during at least a portion of a plasma process step in which by-products are produced in the plasma chamber.
    Type: Application
    Filed: July 19, 2012
    Publication date: January 23, 2014
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventors: John Christopher Shriner, Maja Imamovic, Kevin Wiederhold
  • Patent number: 8632634
    Abstract: In a coating apparatus, a distributor plate 104 is disposed upstream of a silicon wafer 101 relative to the direction of flow of reactive gas. The distributor plate 104 has therein first through-holes 104a and second through-holes 104b arranged so as not to meet the first through-holes 104a. The reactive gas passes through the first through-holes 104a and flows down toward the silicon wafer 101. Further, a cooling gas passes through the second through-holes 104b.
    Type: Grant
    Filed: July 23, 2009
    Date of Patent: January 21, 2014
    Assignee: NuFlare Technology, Inc.
    Inventors: Yoshikazu Moriyama, Kunihiko Suzuki, Hironobu Hirata
  • Publication number: 20140004706
    Abstract: Provided is a plasma processing apparatus which includes a plurality of upstream-side expansion valves and a plurality of downstream-side expansion valves connected to respective refrigerant inlets and respective refrigerant outlets to adjust a flow rate or a pressure of a refrigerant flowing into the respective refrigerant inlets and a flow rate or a pressure of a refrigerant flowing out from the respective refrigerant outlets. Openings of the upstream-side expansion valves and openings of the downstream-side expansion valves are adjusted so that no change in flow rate of the refrigerant occurs in a plurality of refrigerant channels between the plurality of upstream-side expansion valves and the plurality of downstream-side expansion valves via the plurality of refrigerant channels in a refrigeration cycle allowing the refrigerant to flow therein.
    Type: Application
    Filed: June 27, 2013
    Publication date: January 2, 2014
    Inventors: Go MIYA, Masaru IZAWA, Takumi TANDOU
  • Publication number: 20130337653
    Abstract: A semiconductor processing apparatus (1), comprising: a substrate processing chamber (158), defining a substrate support location (156) at which a generally planar semiconductor substrate (300) is supportable; and at least one free radical source (200), including: a precursor gas source (250); an electric resistance heating filament (244); a sleeve (220) with a central sleeve axis (L), wherein said sleeve defines a reaction space (222) that accommodates the heating filament (244), and wherein said sleeve includes an inlet opening (224) via which the reaction space is fluidly connected to the precursor gas source (250), and an outlet opening (228) via which the reaction space is fluidly connected to the substrate processing chamber (158), said inlet and outlet openings (224, 228) being spaced apart along the central sleeve axis (L).
    Type: Application
    Filed: June 14, 2013
    Publication date: December 19, 2013
    Inventors: Alexey Y. Kovalgin, Antonius A. I. Aarnink
  • Patent number: 8608854
    Abstract: A CVD device includes a reaction chamber, a support device, a gas input assembly and a gas output device. The support device is positioned in the reaction chamber. The gas input assembly and a gas output assembly are connected to the reaction chamber respectively. The gas input assembly includes a main body positioned in the reaction chamber and a plurality of gas jets uniformly positioned on the main body, introducing mixed gas to the reaction chamber uniformly.
    Type: Grant
    Filed: April 26, 2010
    Date of Patent: December 17, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Shao-Kai Pei
  • Patent number: 8597462
    Abstract: A movable symmetric chamber liner in a plasma reaction chamber, for protecting the plasma reaction chamber, enhancing the plasma density and uniformity, and reducing process gas consumption, comprising a cylindrical wall, a bottom wall with a plurality of openings, a raised inner rim with an embedded heater, heater contacts, and RF ground return contacts. The chamber liner is moved by actuators between an upper position at which substrates can be transferred into and out of the chamber, and a lower position at which substrate are processed in the chamber. The actuators also provide electrical connection to the heater and RF ground return contacts.
    Type: Grant
    Filed: May 21, 2010
    Date of Patent: December 3, 2013
    Assignee: Lam Research Corporation
    Inventors: Danny Brown, Leonard Sharpless
  • Publication number: 20130306239
    Abstract: A plasma processing system for processing a substrate is described. The plasma processing system includes a bottom piece including a chuck configured for holding the substrate. The plasma processing system also includes an induction coil configured to generate an electromagnetic field in order to create a plasma for processing the substrate; and an optimized top piece coupled to the bottom piece, the top piece further configured for a heating and cooling system. Wherein, the heating and cooling system is substantially shielded from the electromagnetic field by the optimized top piece, and the optimized top piece can substantially be handled by a single person.
    Type: Application
    Filed: July 24, 2013
    Publication date: November 21, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Leonard J. Sharpless, Keith Comendant
  • Publication number: 20130299455
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Application
    Filed: July 15, 2013
    Publication date: November 14, 2013
    Inventors: Chishio KOSHIMIZU, Jun YAMAWAKU, Tatsuo MATSUDO, Masashi SAITO
  • Patent number: 8573154
    Abstract: The present invention relates to a plasma film forming apparatus. In the plasma film forming apparatus, a flow control jig is disposed between a plasma nozzle and a film formation region of a substrate. The flow control jig has a plasma supply path, a raw material supply path, a film formation joined path formed by combining the plasma supply path and the raw material supply path, an exhaust path for discharging a plasma discharge gas and an unreacted raw material transported from the film formation region, and a recovery path for returning the unreacted raw material in the exhaust path to the plasma supply path.
    Type: Grant
    Filed: January 3, 2011
    Date of Patent: November 5, 2013
    Assignee: Honda Motor Co., Ltd.
    Inventor: Shunichi Yorozuya
  • Patent number: 8555810
    Abstract: A plasma dry etching apparatus includes a pedestal in a process chamber, the pedestal being configured to support a wafer, a cathode electrode and a plate electrode in the process chamber, the cathode and plate electrodes being configured to apply radio-frequency (RF) power, an edge ring on an edge of the pedestal, a coupling ring having a first side on the pedestal and a second side on the edge ring, an edge cooling unit in the coupling ring, the edge cooling unit being configured to cool the edge ring to drop a temperature of an extreme edge of the wafer, and an edge heating unit in the coupling ring, the edge heating unit being configured to heat the edge ring to raise the temperature of an extreme edge of the wafer.
    Type: Grant
    Filed: June 3, 2010
    Date of Patent: October 15, 2013
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Joon Park, Su-Hong Kim
  • Patent number: 8555809
    Abstract: Disclosed herein is a device comprising an evaporator; and a heat exchanger; the heat exchanger being in fluid communication with evaporator; evaporator comprising an outer casing; and an inner casing that is disposed within the outer casing; the inner casing contacting a plate; wherein the inner casing encloses a first conduit that is operative to introduce a carrier fluid into evaporator; and a second conduit that is operative to remove carrier fluid entrained with a precursor; wherein the outer casing is detachably attached to the plate; the plate contacting a first precursor conduit that is operative to introduce the precursor into evaporator from the heat exchanger; where the heat exchanger is disposed proximate to evaporator at a distance effective to maintain the precursor in evaporator at a substantially constant temperature when the ambient temperature around the heat exchanger and evaporator fluctuates by an amount of up to about ±35° C.
    Type: Grant
    Filed: January 14, 2010
    Date of Patent: October 15, 2013
    Assignee: Rohm and Haas Electronic Materials, LLC
    Inventors: Egbert Woelk, Ronald L. DiCarlo, Jr.
  • Patent number: 8540843
    Abstract: A plasma processing system for processing a substrate is described. The plasma processing system includes a bottom piece including a chuck configured for holding the substrate. The plasma processing system also includes an induction coil configured to generate an electromagnetic field in order to create a plasma for processing the substrate. The plasma processing system also includes a cover covering at least the induction coil and a heating and cooling system.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: September 24, 2013
    Assignee: Lam Research Corporation
    Inventors: Leonard J. Sharpless, Keith Comendant
  • Publication number: 20130240144
    Abstract: A plasma processing apparatus and method to control a temperature of a chamber component therein. A process chamber may include a temperature controlled chamber component and at least one remote heat transfer fluid loop comprising a first heat exchanger having a primary side in fluid communication with a heat sink or heat source, and a local heat transfer fluid loop placing the chamber component in fluid communication with a secondary side of the first heat exchanger. The local loop may be of significantly smaller fluid volume than the remote loop(s) and circulated to provide thermal load of uniform temperature. Temperature control of heat transfer fluid in the local loop and temperature control of the chamber component may be implemented with a cascaded control algorithm.
    Type: Application
    Filed: March 13, 2012
    Publication date: September 19, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Douglas A. Buchberger, Shane Nevil, Kartik Ramaswamy, Kenneth Collins, Richard Fovell
  • Patent number: 8536550
    Abstract: A method for cleaning collector mirrors in an EUV light generator in which a target is made into a plasma state and EUV light generated is collected by a collector mirror, the method being adopted to the EUV light generator for cleaning contaminants adhering thereto, the method comprising: preparing at least two collector mirrors; locating one of the mirrors at an EUV light condensing position while locating the other mirror at a cleaning position; determining whether the mirror at the cleaning position is cleaned while determining whether the mirror at the light condensing position requires cleaning; and once determined that the mirror at the cleaning position is cleaned and the mirror at the light condensing position requires cleaning, conveying the mirror at the light condensing position and requiring cleaning to the cleaning position while conveying the mirror at the cleaning position and having been cleaned to the light condensing position.
    Type: Grant
    Filed: June 4, 2009
    Date of Patent: September 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Hiroshi Someya, Masato Moriya, Hideo Hoshino, Tamotsu Abe
  • Patent number: 8529729
    Abstract: An assembly comprises a component of a plasma process chamber, a thermal source and a polymer composite therebetween exhibiting a phase transition between a high-thermal conductivity phase and a low-thermal conductivity phase. The temperature-induced phase change polymer can be used to maintain the temperature of the component at a high or low temperature during multi-step plasma etching processes.
    Type: Grant
    Filed: June 7, 2010
    Date of Patent: September 10, 2013
    Assignee: Lam Research Corporation
    Inventors: Tom Stevenson, Michael Dickens
  • Patent number: 8506713
    Abstract: The present invention is a film deposition apparatus configured to deposit a film on a substrate that has been loaded into a vacuum container via a transfer opening and placed on a table in the vacuum container, by supplying a process gas to the substrate from a process-gas supply part opposed to the table under a vacuum atmosphere, while heating a table surface of the table, the film deposition apparatus comprising: an elevating mechanism configured to vertically move the table between a process position at which the substrate is subjected to a film deposition process, and a transfer position at which the substrate is transferred to and from an external transfer mechanism that has entered from the transfer opening; a surrounding part configured to surround the table with a gap therebetween, when the table is located at the process position, so that the surrounding part and the table divide an inside of the vacuum container into an upper space, which is located above the table, and a lower space, which is loc
    Type: Grant
    Filed: September 3, 2008
    Date of Patent: August 13, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Toshio Takagi
  • Patent number: 8500952
    Abstract: Plasma confinement ring assemblies are provided that include confinement rings adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to avoid polymer deposition on those surfaces. The plasma confinement rings include thermal chokes adapted to localize heating at selected portions of the rings that include the plasma exposed surfaces. The thermal chokes reduce heat conduction from those portions to other portions of the rings, which causes selected portions of the rings to reach desired temperatures during plasma processing.
    Type: Grant
    Filed: August 14, 2012
    Date of Patent: August 6, 2013
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Felix Kozakevich, James H. Rogers, David Trussell
  • Publication number: 20130189848
    Abstract: A shielded lid heater lid heater suitable for use with a plasma processing chamber, a plasma processing chamber having a shielded lid heater and a method for plasma processing are provided. The method and apparatus enhances positional control of plasma location within a plasma processing chamber, and may be utilized in etch, deposition, implant, and thermal processing systems, among other applications where the control of plasma location is desirable. In one embodiment, a shielded lid heater is provided that includes an aluminum base and RF shield sandwiching a heater element.
    Type: Application
    Filed: March 11, 2013
    Publication date: July 25, 2013
    Inventors: Michael D. WILLWERTH, David PALAGASHVILI, Valentin N. TODOROW, Stephen YUEN
  • Patent number: 8491720
    Abstract: Embodiments disclosed herein generally relate to an HVPE chamber. The chamber may have two separate precursor sources coupled thereto to permit two separate layers to be deposited. For example, a gallium source and a separate aluminum source may be coupled to the processing chamber to permit gallium nitride and aluminum nitride to be separately deposited onto a substrate in the same processing chamber. The nitrogen may be introduced to the processing chamber at a separate location from the gallium and the aluminum and at a lower temperature. The different temperatures causes the gases to mix together, react and deposit on the substrate with little or no deposition on the chamber walls.
    Type: Grant
    Filed: December 14, 2009
    Date of Patent: July 23, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Tetsuya Ishikawa, David H. Quach, Anzhong Chang, Olga Kryliouk, Yuriy Melnik, Harsukhdeep S. Ratia, Son T. Nguyen, Lily Pang
  • Patent number: 8485127
    Abstract: A structure of an improved processing vessel for a processing apparatus, which processes a target object using a processing gas, is disclosed. The target object, such as a semiconductor wafer, is heated within a metal cylindrical shaped processing vessel. The processing vessel includes a plurality of block bodies mutually connected by being stacked in the vertical direction. Heat insulating vacuum layers are arranged between the adjacent block bodies. Thus, heat transfer between block bodies is suppressed, so that temperature of each block body can be separately controlled, thereby improving energy efficiency.
    Type: Grant
    Filed: October 18, 2006
    Date of Patent: July 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Shinya Nishimoto, Tamaki Yuasa
  • Patent number: 8486221
    Abstract: There are provided a method of heating a focus ring and a plasma etching apparatus, capable of simplifying a structure of a heating mechanism without a dummy substrate. The plasma etching apparatus includes a vacuum processing chamber; a lower electrode serving as a mounting table for mounting a substrate thereon; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas; a high frequency power supply for supplying a high frequency power to the lower electrode to generate a plasma of the processing gas; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In the plasma etching apparatus, the focus ring is heated by irradiating a heating light thereto from a light source provided outside the vacuum processing chamber.
    Type: Grant
    Filed: February 4, 2010
    Date of Patent: July 16, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Chishio Koshimizu, Jun Yamawaku, Tatsuo Matsudo, Masashi Saito
  • Patent number: 8476561
    Abstract: A device for heating a substrate with light from a flash lamp having a semiconductor switch connected in series to the flash lamp. After triggering of a trigger electrode of the flash lamp, a first drive signal and a second drive signal are output from a gate circuit. The time period when the semiconductor switch is on due to the second drive signal is longer than the time period that the semiconductor switch is on by the first drive signal. Then, the semiconductor switch is switched on and off by the first drive signal and the substrate temperature is increased to a temperature, which is lower than the desired temperature to be achieved, and is maintained a that temperature for a short time, after which the surface temperature of the substrate is increased to the desired target temperature.
    Type: Grant
    Filed: December 22, 2008
    Date of Patent: July 2, 2013
    Assignee: Ushiodenki Kabushiki Kaisha
    Inventor: Takehiko Yokomori
  • Patent number: 8470094
    Abstract: Apparatus for continuous coating has a chamber wall which forms a processing chamber, thermal insulation which forms a processing area within the chamber, a transportation device for substrates located in the processing area with a substrate transportation direction of the substrates lying in the lengthwise extension of the apparatus for continuous coating, and heating equipment which heats the substrates, is designed to minimize unwanted coating, in particular of parts of the apparatus, in order to minimize the expense of maintaining and servicing the apparatus A condensation element is positioned in the processing chamber, which extends into the processing area and binds the arising vapor through condensation.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: June 25, 2013
    Assignee: VON ARDENNE Anlagentechnik GmBH
    Inventors: Hubertus Von Der Waydbrink, Siegfried Scheibe, Jens Meyer, Andrej Wolf, Uwe Traeber, Michael Hentschel
  • Publication number: 20130133690
    Abstract: In an ion bombardment apparatus of the present invention, a heating type thermal electron emission electrode formed by a filament is placed on one inner surface of a vacuum chamber, an anode for receiving a thermal electron from the thermal electron emission electrode is placed on another inner surface of the vacuum chamber, and a base material is placed between the thermal electron emission electrode and the anode. Further, the ion bombardment apparatus has a discharge power supply for generating a glow discharge upon application of a potential difference between the thermal electron emission electrode and the anode, a heating power supply for heating the thermal electron emission electrode so as to emit the thermal electron, and a bias power supply for applying negative pulse-shaped bias potential with respect to the vacuum chamber to the base material.
    Type: Application
    Filed: November 1, 2012
    Publication date: May 30, 2013
    Applicant: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
    Inventor: Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.)
  • Publication number: 20130126475
    Abstract: Methods, systems, and computer programs are presented for semiconductor manufacturing are provided. One wafer processing apparatus includes: a top electrode; a bottom electrode; a first radio frequency (RF) power source; a second RF power source; a third RF power source; a fourth RF power source; and a switch. The first, second, and third power sources are coupled to the bottom electrode. Further, the switch is operable to be in one of a first position or a second position, where the first position causes the top electrode to be connected to ground, and the second position causes the top electrode to be connected to the fourth RF power source.
    Type: Application
    Filed: November 21, 2011
    Publication date: May 23, 2013
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Alexei Marakhtanov, Gerardo Delgadino, Eric Hudson, Bi Ming Yen, Andrew D. Bailey, III