With Heating Or Cooling Means For Apparatus Part Other Than Workpiece Support Patents (Class 156/345.37)
  • Patent number: 8444926
    Abstract: A heater liner assembly suitable for covering the interior of a plasma processing chamber is provided. In some embodiments, a liner assembly for a processing chamber can include a heating element embedded in a body. A flange extending outward from an outer diameter of the body includes an upper surface having a sealing surface and at least one pad that extends from the upper surface of the flange to an elevation beyond the sealing surface. The pad contributes to control of the temperature of the liner assembly by maintaining the liner assembly spaced apart from the processing chamber.
    Type: Grant
    Filed: January 30, 2007
    Date of Patent: May 21, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Mark A. Fodor, Sophia M. Velastegui, Bok Hoen Kim, Dale R. DuBois
  • Publication number: 20130105084
    Abstract: Methods and systems for controlling temperatures in plasma processing chamber with a combination of proportional and pulsed fluid control valves. A heat transfer fluid loop is thermally coupled to a chamber component, such as a chuck. The heat transfer fluid loop includes a supply line and a return line to each of hot and cold fluid reservoirs. In an embodiment, an analog valve (e.g., in the supply line) is controlled between any of a closed state, a partially open state, and a fully open state based on a temperature control loop while a digital valve (e.g., in the return line) is controlled to either a closed state and a fully open state.
    Type: Application
    Filed: October 15, 2012
    Publication date: May 2, 2013
    Inventor: Chetan MAHADESWARASWAMY
  • Publication number: 20130105085
    Abstract: Apparatus for processing substrates are provided herein. In some embodiments, an apparatus includes a first conductive body disposed about a substrate support in the inner volume of a process chamber; a first conductive ring having an inner edge coupled to a first end of the second conductive body and having an outer edge disposed radially outward of the inner edge; a second conductive body coupled to the outer edge of the first conductive ring and having at least a portion disposed above the first conductive ring, wherein the first conductive ring and the at least a portion of the second conductive body partially define a first region above the first conductive ring; and a heater configured to heat the first conductive body, the second conductive body, and the first conductive ring.
    Type: Application
    Filed: October 9, 2012
    Publication date: May 2, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Patent number: 8430960
    Abstract: Parasitic deposits are controlled in a deposition system for depositing a film on a substrate, the deposition system of the type defining a reaction chamber for receiving the substrate and including a process gas in the reaction chamber and an interior surface contiguous with the reaction chamber. Such control is provided by flowing a buffer gas between the interior surface and at least a portion of the process gas to form a gas barrier layer such that the gas barrier layer inhibits contact between the interior surface and components of the process gas. A deposition system for depositing a film on a substrate using a process gas includes a reaction chamber adapted to receive the substrate and the process gas. The system further includes an interior surface contiguous with the reaction chamber.
    Type: Grant
    Filed: August 29, 2006
    Date of Patent: April 30, 2013
    Assignee: Cree, Inc.
    Inventors: Joseph John Sumakeris, Michael James Paisley, Michael John O'Loughlin
  • Patent number: 8430963
    Abstract: A system for vapor deposition of a thin film layer on photovoltaic (PV) module substrates includes a system for cool-down of the vacuum chamber through which substrates are conveyed in a vapor deposition process. The cool-down system is configured with the vacuum chamber to recirculate a cooling gas through the vacuum chamber and through an external heat exchanger in a closed cool-down loop. An associated method for forced cool-down of the vacuum chamber is also provided.
    Type: Grant
    Filed: January 7, 2010
    Date of Patent: April 30, 2013
    Assignee: Primestar Solar, Inc.
    Inventors: Jason Scott Paulman, Russell Weldon Black, Mark Jeffrey Pavol
  • Publication number: 20130098871
    Abstract: An inductively coupled plasma source for a focused charged particle beam system includes a conductive shield within the plasma chamber in order to reduce capacitative coupling to the plasma. The internal conductive shield is maintained at substantially the same potential as the plasma source by a biasing electrode or by the plasma. The internal shield allows for a wider variety of cooling methods on the exterior of the plasma chamber.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: FEI Company
    Inventors: Tom Miller, Shouyin Zhang
  • Patent number: 8419854
    Abstract: In a film-forming apparatus in which two or more gases are used, a uniform film is formed. In a film-forming apparatus provided with a film-forming chamber and a shower head, the shower head is provided with a material gas diffusion chamber and a reactive gas diffusion chamber. A gas passage which communicates the material gas diffusion chamber and a material gas introduction pipe is constituted into multi-stages of one stage or more. Each stage has a gas passage represented by 2n-1 (where n is the number of stages). The first-stage gas passage has connected to the center thereof the material gas introduction pipe. Each of second-stage and subsequent-stage gas passages has connected to the center thereof connection holes which are provided on both ends of the previous-stage gas passages so as to be in communication with the previous-stage gas passages. Each of the final-stage gas passages is connected to the material gas diffusion chamber by connection holes formed on both ends of each of the gas passages.
    Type: Grant
    Filed: April 15, 2008
    Date of Patent: April 16, 2013
    Assignee: Ulvac, Inc.
    Inventors: Masanobu Hatanaka, Osamu Irino, Michio Ishikawa
  • Patent number: 8419893
    Abstract: A shielded lid heater lid heater suitable for use with a plasma processing chamber, a plasma processing chamber having a shielded lid heater and a method for plasma processing are provided. The method and apparatus enhances positional control of plasma location within a plasma processing chamber, and may be utilized in etch, deposition, implant, and thermal processing systems, among other applications where the control of plasma location is desirable. In one embodiment, a shielded lid heater is provided that includes an aluminum base and RF shield sandwiching a heater element.
    Type: Grant
    Filed: March 20, 2009
    Date of Patent: April 16, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Michael D. Willwerth, David Palagashvili, Valentin N. Todorow, Stephen Yuen
  • Publication number: 20130087283
    Abstract: In one embodiment, a plasma processing device may include a dielectric window, a vacuum chamber, an energy source, and at least one air amplifier. The dielectric window may include a plasma exposed surface and an air exposed surface. The vacuum chamber and the plasma exposed surface of the dielectric window can cooperate to enclose a plasma processing gas. The energy source can transmit electromagnetic energy through the dielectric window and form an elevated temperature region in the dielectric window. The at least one air amplifier can be in fluid communication with the dielectric window. The at least one air amplifier can operate at a back pressure of at least about 1 in-H2O and can provide at least about 30 cfm of air.
    Type: Application
    Filed: November 9, 2011
    Publication date: April 11, 2013
    Applicant: LAM RESEARCH CORPORATION
    Inventors: Jon McChesney, Saravanapriyan Sriraman, Ricky Marsh, Alex Paterson, John Holland
  • Patent number: 8409399
    Abstract: A chemical oxide removal (COR) processing system is presented, wherein the COR processing system includes a first treatment chamber and a second treatment chamber. The first treatment chamber comprises a chemical treatment chamber that provides a temperature controlled chamber having a protective barrier. The second treatment chamber comprises a heat treatment chamber that provides a temperature-controlled chamber having a protective barrier.
    Type: Grant
    Filed: May 21, 2009
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Arthur H. LaFlamme, Jr., Thomas Hamelin, Jay R Wallace
  • Patent number: 8398771
    Abstract: A substrate processing apparatus in accordance with the present invention includes a process chamber configured to accommodate a substrate, a gas supply line configured to supply a gas to an inside of the process chamber, and an exhaust line configured to exhaust the inside of the process chamber. The gas supply line of the substrate processing apparatus includes a preheating unit preheating the gas supplied from a gas source, a metal pipeline having an angled section wherein the metal pipe line connects the preheating unit and the inside of the process chamber to supply the gas preheated by the preheating unit into the process chamber, and a heat dissipation member covering the angled section to dissipate heat from the angled section.
    Type: Grant
    Filed: July 7, 2009
    Date of Patent: March 19, 2013
    Assignee: Hitachi Kokusai Electric, Inc.
    Inventors: Takayuki Nakada, Koichi Sada, Tomoyuki Matsuda
  • Patent number: 8388755
    Abstract: The present invention relates to the field of semiconductor processing and provides apparatus and methods that improve chemical vapor deposition (CVD) of semiconductor materials by promoting more efficient thermalization of precursor gases prior to their reaction. In preferred embodiments, the invention comprises heat transfer structures and their arrangement within a CVD reactor so as to promote heat transfer to flowing process gases. In certain preferred embodiments applicable to CVD reactors transparent to radiation from heat lamps, the invention comprises radiation-absorbent surfaces placed to intercept radiation from the heat lamps and to transfer it to flowing process gases.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: March 5, 2013
    Assignee: Soitec
    Inventors: Chantal Arena, Christiaan J. Werkhoven, Ronald Thomas Bertram, Jr., Ed Lindow
  • Publication number: 20130052830
    Abstract: Provided is a plasma reactor having a dual inductively coupled plasma source that includes a plasma reactor body having a substrate processing area and a dielectric window which comes in contact with the substrate processing area; and a plasma source including a first antenna for providing first induced electromotive force for generating plasma onto a central area of the substrate processing area through the dielectric window and a second antenna for providing second induced electromotive force for generating the plasma onto an outer area of the substrate processing area, wherein a TSV is formed at a target substrate within the substrate processing area by repeatedly performing a deposition process and an etch process using the plasma generated through the dual inductively coupled plasma source.
    Type: Application
    Filed: December 27, 2011
    Publication date: February 28, 2013
    Inventors: Gyoo-Dong KIM, Dae-Kyu Choi
  • Patent number: 8382902
    Abstract: Apparatus and method for vapor deposition of a uniform thickness thin film of lubricant on at least one surface of a disk-shaped substrate. The invention has particular utility in depositing thin films of polymeric lubricants onto disc-shaped substrates in the manufacture of magnetic and MO recording media.
    Type: Grant
    Filed: August 20, 2003
    Date of Patent: February 26, 2013
    Inventors: Michael Joseph Stirniman, Paul Stephen McLeod
  • Patent number: 8375891
    Abstract: There is provided a vacuum evaporating apparatus which is suitable for performing a process in which a metal vapor atmosphere is formed in a processing chamber, the metal atoms in this metal vapor atmosphere are caused to be adhered to the surface of an object to be processed, and the metal atoms adhered to the surface of the object to be processed are diffused into grain boundary phases thereof. The apparatus comprises: a processing furnace (11); at least one processing box (4) disposed inside the processing furnace; and a heating means (2) provided inside the processing furnace so as to enclose the processing box. An evacuating means is provided which, after housing the processing box inside the processing furnace in a state in which the object to be processed (S) and the metal evaporating material (V) are disposed in the processing box, reduces the processing furnace and the processing box to a predetermined pressure and keep them at that pressure.
    Type: Grant
    Filed: September 10, 2007
    Date of Patent: February 19, 2013
    Assignee: Ulvac, Inc.
    Inventors: Hiroshi Nagata, Kyuzo Nakamura, Takeo Katou, Atsushi Nakatsuka, Ichirou Mukae, Masami Itou, Ryou Yoshiizumi, Yoshinori Shingaki
  • Patent number: 8377253
    Abstract: In an apparatus and method of vapor etching, a sample (S) to be etched is located in a main chamber 107 from which the atmosphere inside is evacuated. Etching gas is input into the main chamber 107 for a first period of time. Thereafter, the etching gas is evacuated from the main chamber 107 and cooling/purging gas is input into the main chamber for a second interval of time. Thereafter, the cooling/purging gas is evacuated from the main chamber 107. Desirably, the steps of inputting the etching gas into the main chamber 107 for the first period of time, evacuating the etching gas from the main chamber, inputting the cooling/purging gas into the main chamber 107 for the second period of time, and evacuating the cooling/purging gas from the main chamber are repeated until samples have been etched to a desired extent.
    Type: Grant
    Filed: December 7, 2009
    Date of Patent: February 19, 2013
    Assignee: Xactix, Inc.
    Inventors: Kyle S. Lebouitz, David L. Springer
  • Patent number: 8377252
    Abstract: The present invention relates to an apparatus for spraying an etchant and a method for manufacturing a printed circuit board. In one exemplary embodiment the apparatus includes a manifold, a plurality of feed pipes in fluid communication with the manifold, each of the feed pipes having a plurality of spray nozzles mounted thereon, the feed pipes cooperatively constitute a spray region, and a pressure-boosting device configured for increasing a spray pressure of the spray nozzles which are located at a central area of the spray region. The apparatus can overcome “the puddle effect” on an upper surface of the printed circuit board.
    Type: Grant
    Filed: December 21, 2006
    Date of Patent: February 19, 2013
    Assignee: Zhen Ding Technology Co., Ltd.
    Inventors: Wen-Chin Lee, Cheng-Hsien Lin
  • Patent number: 8372203
    Abstract: A film formation system 10 includes a processing chamber 15 bounded by sidewalls 18 and a top cover 11. In one embodiment, a susceptor 16 is rotatably disposed in the system 10, and overlaps with a first peripheral member 205 disposed around the sidewalls 18. A radiant heating system 313 is disposed under the susceptor 305 to heat the substrate 19. In another embodiment, the top cover 11 has equally spaced pyrometers 58 for measuring the temperature of the substrate 19 across a number of zones. The temperature of the substrate 19 is obtained from pyrometric data from the pyrometers 58.
    Type: Grant
    Filed: September 30, 2005
    Date of Patent: February 12, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Juan Chacin, Aaron Hunter, Craig Metzner, Roger N. Anderson
  • Publication number: 20130015159
    Abstract: The invention relates to an apparatus for treating a surface with a at least one gliding arc source comprising at least one gas flow controlling unit (104); and a set of electrodes (102); wherein the at least one gas flow controlling unit (104) and the set of electrodes (102) are controlled to provide a plasma comprising a gas temperature at the set of electrodes (102) above approximately 2000 K. In this way, an optimal or substantially optimal plasma for treating surfaces of samples is achieved.
    Type: Application
    Filed: December 14, 2010
    Publication date: January 17, 2013
    Applicant: Danmarks Tekniske Universitet
    Inventor: Yukihiro Kusano
  • Publication number: 20130008870
    Abstract: To make an arrangement so as not to give any damage to the central part of a substrate during the operation for removing unnecessary film coated on the outer peripheral part of the substrate. The stage is provided therein with a refrigerant chamber 41 as a heat absorber and a refrigerant such as water is filled in the chamber. A wafer 90 is contacted with and supported on the support surface 10a of the stage 10. A reactive gas for removing unnecessary film is supplied the outer periphery of the wafer 90 through a reactive gas jet port 30b while heating the outer periphery of the wafer 90. On the other hand, the area inside the outer peripheral part of the wafer 90 is heat-absorbed by the heat absorber.
    Type: Application
    Filed: September 14, 2012
    Publication date: January 10, 2013
    Applicant: SEKISUI CHEMICAL CO., LTD.
    Inventors: Mitsuhide NOGAMI, Taira HASEGAWA, Syunsuke KUNUGI
  • Patent number: 8343277
    Abstract: To inhibit a diffusion of particles into a processing chamber and reduce a cost required for exchanging a gas filter. A substrate processing apparatus comprises: a processing chamber processing substrates; a gas supply part supplying processing gas into the processing chamber; wherein the gas supply part has a gas supply nozzle disposed in the processing chamber; a filter removing impurities contained in the processing gas; and a gas supply port opened in the gas supply nozzle, for supplying into the processing chamber the processing gas from which impurities are removed by the filter.
    Type: Grant
    Filed: February 23, 2010
    Date of Patent: January 1, 2013
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Yuji Takebayashi, Satoshi Okada, Takashi Nakagawa
  • Publication number: 20120325407
    Abstract: Plasma confinement ring assemblies are provided that include confinement rings adapted to reach sufficiently high temperatures on plasma-exposed surfaces of the rings to avoid polymer deposition on those surfaces. The plasma confinement rings include thermal chokes adapted to localize heating at selected portions of the rings that include the plasma exposed surfaces. The thermal chokes reduce heat conduction from those portions to other portions of the rings, which causes selected portions of the rings to reach desired temperatures during plasma processing.
    Type: Application
    Filed: August 14, 2012
    Publication date: December 27, 2012
    Applicant: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Felix Kozakevich, James H. Rogers, David Trussell
  • Publication number: 20120325264
    Abstract: An apparatus and the use of such an apparatus and method for producing microcomponents with component structures are presented which are generated in a process chamber on a substrate according to the LIGA method for example and are stripped from the enclosing photoresist with the help of a cooled remote plasma source.
    Type: Application
    Filed: August 30, 2012
    Publication date: December 27, 2012
    Applicant: R3T GmbH Rapid Reactive Radicals Technology
    Inventor: Josef MATHUNI
  • Patent number: 8328981
    Abstract: A plasma etching apparatus includes a vacuum processing chamber; a lower electrode, i.e., a mounting table for mounting the substrate, provided in the vacuum processing chamber; an upper electrode provided to face the lower electrode; a gas supply unit for supplying a processing gas to the vacuum processing chamber; a high frequency power supply unit for supplying a high frequency power to the lower electrode; and a focus ring provided on the lower electrode to surround a periphery of the substrate. In a method for performing a plasma etching on a substrate by using the plasma etching apparatus, a plasma is generated in the vacuum processing chamber to perform the plasma etching on the substrate by using the plasma after the focus ring is heated by supplying a high frequency power from the high frequency power supply unit to the lower electrode under a condition that no plasma is generated.
    Type: Grant
    Filed: January 11, 2010
    Date of Patent: December 11, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hiroshi Tsujimoto
  • Publication number: 20120305191
    Abstract: Provided is an apparatus for treating a substrate. The apparatus for treating a substrate may include a process chamber having a space formed therein, a chuck positioned in the process chamber and supporting a substrate, a gas supply unit supplying reaction gas into the process chamber, an upper electrode positioned above the chuck and applying high frequency power to the reaction gas, and a heater installed in the upper electrode and heating the upper electrode.
    Type: Application
    Filed: May 30, 2012
    Publication date: December 6, 2012
    Applicant: SEMES CO., LTD.
    Inventors: Sangmin Mun, Dosoon Kim, Daehyun Yang
  • Publication number: 20120298302
    Abstract: A process plasma chamber for processing a wafer may include a chamber body for processing the wafer, a wafer chuck for positioning the wafer within the chamber body and a plasma body being generated by RF power in the chamber body. The wafer chuck may position the wafer downwards and above the plasma body. The chamber body may include a showerhead positioned below the plasma body. The chamber body may include a first top electrode for receiving RF power. The chamber body may include a second top electrode for receiving RF power.
    Type: Application
    Filed: May 13, 2012
    Publication date: November 29, 2012
    Inventors: Yaomin Xia, Shang-Fen Ren, Benxin Xia
  • Patent number: 8317922
    Abstract: A gas injection unit and a thin film deposition apparatus having the gas injection unit are provided. Since a variety of different kinds of organic materials can be sequentially vaporized and injected by a single injection unit, a variety of different kinds of thin films can be deposited in a single chamber. Furthermore, the gas injection structure of the injector unit can be easily controlled. Therefore, even when the process conditions such as the size of the substrate, the process temperature of the chamber, and the like are altered, it becomes possible to actively response to the altered process conditions by simply replacing some parts without replacing the whole injector unit.
    Type: Grant
    Filed: December 27, 2008
    Date of Patent: November 27, 2012
    Assignee: Jusung Engnineering Co., Ltd.
    Inventors: Chang Jae Lee, Young-Ho Kwon
  • Patent number: 8317968
    Abstract: A plasma processing apparatus includes a gas distribution member which supplies a process gas and radio frequency (RF) power to a showerhead electrode. The gas distribution member can include multiple gas passages which supply the same process gas or different process gases at the same or different flow rates to one or more plenums at the backside of the showerhead electrode. The gas distribution member provides a desired process gas distribution to be achieved across a semiconductor substrate processed in a gap between the showerhead electrode and a bottom electrode on which the substrate is supported.
    Type: Grant
    Filed: April 30, 2004
    Date of Patent: November 27, 2012
    Assignee: Lam Research Corporation
    Inventors: Rajinder Dhindsa, Eric Lenz
  • Patent number: 8308865
    Abstract: A showerhead for chemical vapor deposition (CVD) includes a head storing reaction gas flowing thereinto and feeding the stored reaction gas to a reaction chamber, and at least one support member passing through and coupled with the head and the reaction chamber so as to support the head.
    Type: Grant
    Filed: October 9, 2008
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Changsung Sean Kim, Jong Pa Hong, Kyung Ho Lee
  • Patent number: 8303712
    Abstract: In a substrate processing apparatus, a process vessel is configured to accommodate and process a substrate held at a horizontal position. A gas introduction port is installed at a periphery of a first side of the process vessel and configured to introduce gas into the process vessel from a lateral direction of the substrate. A gas exhaust port is installed at a second side of the process vessel which is opposite to the first side, and is configured to exhaust gas inside the process vessel from a lateral direction of the substrate. A slope part is installed between the gas introduction port and the gas exhaust port inside the process vessel, and is configured to guide a flow path of the gas introduced into the process vessel.
    Type: Grant
    Filed: January 30, 2009
    Date of Patent: November 6, 2012
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Seiyo Nakashima, Tomoyuki Yamada, Masakazu Shimada
  • Patent number: 8304021
    Abstract: A vapor phase deposition apparatus 100 for forming a thin film comprising a chamber 1060, a piping unit 120 for supplying a source material of the thin film into the chamber 1060 in a gaseous condition, a vaporizer 202 for vaporizing the source material in a source material container 112 and supplying the vaporized gas in the piping unit 120 and a temperature control unit 180, is presented.
    Type: Grant
    Filed: September 17, 2009
    Date of Patent: November 6, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Tomoe Yamamoto, Tomohisa Iino
  • Patent number: 8302554
    Abstract: The present invention is directed to an apparatus and method for rapid cooling of a large substrate in a vacuum environment. A first cooled plate is brought into close proximity with one surface of a flat substrate. The spatial volume between the first cooling plate and the substrate is sealed and brought to a higher pressure than the surrounding vacuum level to increase the cooling efficiency. A second cooled plate is brought into close proximity with the opposite surface of the flat substrate. A second spatial volume between the second cooling plate and the substrate is sealed and the gas pressure is equalized to the gas pressure in the first spatial volume. The equalization of the gas pressure on both sides of the flat substrate eliminates deflection of the substrate and bending stress in the substrate.
    Type: Grant
    Filed: August 23, 2010
    Date of Patent: November 6, 2012
    Assignee: Colorada State University Research Foundation.
    Inventors: Kurt L. Barth, Robert A. Enzenroth, Walajabad S. Sampath
  • Publication number: 20120273135
    Abstract: An electrode unit is disposed in a substrate processing apparatus including a processing chamber for processing a substrate by plasma. The electrode unit includes an electrode layer having a surface exposed to inside of the processing chamber and an opposing surface disposed at the opposite side of the exposed surface, a heating layer and a cooling layer that the electrode layer, the heating layer and the cooling layer are disposed in said order from the processing chamber. The heating layer covers the opposing surface of the electrode layer while the cooling layer covers the opposing surface of the electrode layer via the heating layer, and a heat transfer layer filled up with a heat transfer medium is interposed between the heating layer and the cooling layer.
    Type: Application
    Filed: July 9, 2012
    Publication date: November 1, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi HIDA, Jun Oyabu
  • Publication number: 20120276746
    Abstract: A manufacturing method for a semiconductor device includes: arranging a plurality of silicon substrates having a sacrifice layer in a reaction chamber in such a manner that surfaces of silicon substrates face each other; introducing an etching gas into the reaction chamber; reacting the etching gas and the sacrifice layer in each silicon substrate so that the sacrifice layer is dry-etched; and arranging a partition member in the reaction chamber to partition a predetermined range between adjacent silicon substrates. The partition member has a property in such a manner that a water molecule hardly penetrates the partition member. The water molecule is a reaction product between the etching gas and the sacrifice layer.
    Type: Application
    Filed: April 27, 2011
    Publication date: November 1, 2012
    Applicant: DENSO CORPORATION
    Inventors: Yuji HIKIDA, Kouichi Miyashita
  • Patent number: 8282769
    Abstract: A shower head is provided, in a processing chamber in which a substrate is processed, to face a mounting table for mounting the substrate thereon. The shower head includes: a facing surface that faces the mounting table to supply a gas to the substrate in a form of shower through a plurality of gas injection holes formed on the facing surface; an opposing surface provided opposite to the facing surface; and a plurality of bar-shaped heat transfer columns standing on the opposing surface. Here, the heat transfer columns have varying lengths and/or thicknesses to adjust heat capacities thereof. The heat transfer columns are made of one of aluminum, stainless steel, and copper.
    Type: Grant
    Filed: March 8, 2010
    Date of Patent: October 9, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Hachishiro Iizuka
  • Publication number: 20120176692
    Abstract: There is provided a focus ring that is capable of preventing deposits from adhering to a member having a lower temperature in a gap between two members having different temperatures. A focus ring 25 is disposed to surround a peripheral portion of a wafer W in a chamber 11 of a substrate processing apparatus 10. The focus ring 25 includes an inner focus ring 25a and an outer focus ring 25b. Here, the inner focus ring 25a is placed adjacent to the wafer W and configured to be cooled; and the outer focus ring 25b is placed so as to surround the inner focus ring 25a and configured not to be cooled. Further, a block member 25c is provided in a gap between the inner focus ring 25a and the outer focus ring 25b.
    Type: Application
    Filed: January 6, 2012
    Publication date: July 12, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Jun Yamawaku, Chishio Koshimizu
  • Publication number: 20120156877
    Abstract: A top assembly for a processing chamber having a back plate and a hub is provided. The back plate has a first portion and a second portion. The first portion is connected to the second portion through a central region of the back plate, wherein a gap is defined between opposing surfaces of the first and second portions outside the central region. The first portion includes an embedded heating element. The hub is affixed to a top surface of the second portion of the back plate over the central region. The hub has a top surface with a plurality of channel openings defined within a central region of the hub and a bottom surface having a central extension with a plurality of channels defined therethrough. The bottom surface includes an annular extension spaced apart from the central extension.
    Type: Application
    Filed: December 20, 2010
    Publication date: June 21, 2012
    Inventors: Lipyeow Yap, Jay DeDontney, Shouqian Shao, Jason Wright
  • Publication number: 20120145671
    Abstract: The present invention provides a plasma processing apparatus which reduces damage from plasma generated in a discharge vessel and lengthen the replacement cycle of the discharge vessel. A plasma processing apparatus 1 is provided with a processing chamber 2 partitioning a processing space, a discharge vessel 3 whose one end opens facing inside the processing chamber 2 and the other end is closed, an antenna 4 which is disposed around the discharge vessel 3 and generates an induced electric field to generate plasma in the discharge vessel 3 under reduced pressure, and an electromagnet 9 which is arranged around the discharge vessel 3 and forms a divergent magnetic field in the discharge vessel 3. The discharge vessel 3 has at is closed end portion a protrusion 15 projecting toward the processing chamber 2.
    Type: Application
    Filed: August 25, 2010
    Publication date: June 14, 2012
    Applicant: CANON ANELVA CORPORATION
    Inventors: Ryo Matsuhashi, Hiroshi Akasaka, Yoshimitsu Kodaira, Atsushi Sekiguchi, Naoko Matsui
  • Publication number: 20120118505
    Abstract: A coolant flow path for cooling a dielectric window of a side wall of a processing container of the plasma processing apparatus is provided. A coolant flows in a liquid or gaseous state in the coolant flow path without phase transition. At least a portion of the coolant flow path extending in a circumferential direction of the side wall has a cross-sectional area decreased toward downstream from upstream.
    Type: Application
    Filed: May 20, 2010
    Publication date: May 17, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Kiyotaka Ishibashi
  • Publication number: 20120091098
    Abstract: Embodiments of the present invention relate to a plasma chamber having a coil assembly which improves plasma uniformity and improves power coupling to the plasma. One embodiment provides a plasma chamber. The plasma chamber includes a chamber body having sidewalls and a lid, wherein the chamber body defines a processing volume. The plasma chamber further includes a coil assembly disposed over the lid configured to generate inductively coupled plasma within the processing volume, wherein the coil assembly comprises two or more horizontal coils arranged in a common horizontal plane.
    Type: Application
    Filed: October 11, 2011
    Publication date: April 19, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Jivko Dinev, Saravjeet Singh, Roy C. Nangoy
  • Publication number: 20120090784
    Abstract: Embodiments of the invention generally provide a lid heater for a plasma processing chamber. In one embodiment, a lid heater assembly is provided that includes a thermally conductive base. The thermally conductive base has a planar ring shape defining an inner opening. The lid heater assembly further includes a heating element disposed on the thermally conductive base, and an insulated center core disposed across the inner opening of the thermally conductive base.
    Type: Application
    Filed: October 5, 2011
    Publication date: April 19, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Alan H. Ouye, Graeme Scott, Keven Kaisheng Yu, Michael N. Grimbergen
  • Publication number: 20120067522
    Abstract: A vacuum processing apparatus having an atmospheric-pressure transport chamber for conveying samples, lock chambers that accommodate the samples conveyed in and have an ambient capable of being switched between an atmospheric ambient and a vacuum ambient, a vacuum transport chamber coupled to the lock chambers, and at least one vacuum chamber for processing the samples. The apparatus further includes cooling portions operable to cool the high-temperature samples processed by the vacuum chamber. Each cooling portion has: a sample stage over which the high-temperature samples are placed and which has a coolant channel; gas-blowing tubes disposed closer to the inlet/exit port and acting to blow gas toward the sample stage; and an exhaust port disposed on the opposite side of the sample stage with regard to the inlet/exit port and acting to discharge the gas blown from the gas-blowing tubes.
    Type: Application
    Filed: February 7, 2011
    Publication date: March 22, 2012
    Inventors: Takahiro Shimomura, Yutaka Kudou, Masakazu Isozaki, Takashi Uemura
  • Patent number: 8137467
    Abstract: A temperature controlled showerhead for chemical vapor deposition (CVD) chambers enhances heat dissipation to enable accurate temperature control with an electric heater. Heat dissipates by conduction through a showerhead stem and fluid passageway and radiation from a back plate. A temperature control system includes one or more temperature controlled showerheads in a CVD chamber with fluid passageways serially connected to a heat exchanger.
    Type: Grant
    Filed: October 16, 2007
    Date of Patent: March 20, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Henner Meinhold, Dan M. Doble, Stephen Lau, Vince Wilson, Easwar Srinivasan
  • Patent number: 8133323
    Abstract: A film forming apparatus is provided with a chamber which defines a processing space for performing a film forming process to a substrate a stage arranged in the chamber for mounting the substrate thereon; a substrate heating unit arranged on the stage for heating the substrate; a shower head which is arranged to face the stage and has many gas injecting holes; a gas supply unit for supplying cooling unit arranged above the shower head for cooling the shower head; and a shower head heating unit arranged above the cooling unit for heating the shower head through the cooling unit.
    Type: Grant
    Filed: December 19, 2008
    Date of Patent: March 13, 2012
    Assignee: Tokyo Electron Limited
    Inventor: Takashi Kakegawa
  • Patent number: 8128751
    Abstract: A film-forming apparatus of the invention is a film-forming apparatus that includes: a processing container that defines a chamber, a pedestal arranged in the chamber, on which a substrate to be processed can be placed, a showerhead provided opposite to the pedestal, which has a large number of gas-discharging holes, a gas-supplying mechanism that supplies a process gas into the chamber through the showerhead, and a showerhead-temperature controlling unit that controls a temperature of the showerhead.
    Type: Grant
    Filed: March 16, 2009
    Date of Patent: March 6, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Kasai, Takashi Kakegawa
  • Publication number: 20120043022
    Abstract: A plasma processing system for processing a substrate is described. The plasma processing system includes a bottom piece including a chuck configured for holding the substrate. The plasma processing system also includes an induction coil configured to generate an electromagnetic field in order to create a plasma for processing the substrate. The plasma processing system also includes a cover covering at least the induction coil and a heating and cooling system.
    Type: Application
    Filed: August 23, 2010
    Publication date: February 23, 2012
    Inventors: Leonard J. Sharpless, Keith Comendant
  • Publication number: 20120012556
    Abstract: A plasma etching apparatus 11 includes a mounting table that holds a semiconductor substrate W thereon; a first heater 18a that heats a central region of the semiconductor substrate W held on the mounting table 14; a second heater 18b that heats an edge region around the central region of the semiconductor substrate W held on the mounting table 14; a reactant gas supply unit 13 that supplies a reactant gas for a plasma process toward the central region of the semiconductor substrate W held on the mounting table 14; and a control unit 20 that performs a plasma etching process on the semiconductor substrate W while controlling the first heater 18a and the second heater 18b to heat the central region and the edge region of the processing target substrate W held on the mounting table 14 to different temperatures.
    Type: Application
    Filed: February 26, 2009
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Naoki Matsumoto, Kazuto Takai, Reika Ko, Nobuyuki Okayama
  • Publication number: 20120012254
    Abstract: A gate valve cleaning method that can clean a gate valve that brings an atmospheric transfer chamber and an internal pressure variable transfer chamber that transfer a substrate into communication with each other or shuts them off from each other without bringing about a decrease in the throughput of a substrate processing system. Before the gate valve brings the atmospheric transfer chamber and the internal pressure variable transfer chamber into communication with each other, the pressure in the internal pressure variable transfer chamber is increased so that the pressure in the internal pressure variable transfer chamber can become higher than the pressure in the atmospheric transfer chamber.
    Type: Application
    Filed: September 23, 2011
    Publication date: January 19, 2012
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Tsuyoshi MORIYA, Hiroyuki Nakayama, Keisuke Kondoh, Hiroki Oka
  • Patent number: 8092641
    Abstract: A system and method for removing an organic residue from a charged particle beam system includes a conduit that is coupled to the column and is for adding oxygen to the column. A heater is coupled to the column and is for increasing the temperature in the column. A pump is coupled to the column and is for removing a gas from the chamber, wherein the gas is a byproduct of a chemical reaction of the organic residue and the oxygen.
    Type: Grant
    Filed: August 8, 2005
    Date of Patent: January 10, 2012
    Assignee: Hermes-Microvision, Inc.
    Inventor: Hong Xiao
  • Publication number: 20110303641
    Abstract: Components and systems for controlling a process or chamber component temperature as a plasma process is executed by plasma processing apparatus. A first heat transfer fluid channel is disposed in a component subjacent to a working surface disposed within a plasma processing chamber such that a first length of the first channel subjacent to a first temperature zone of the working surface comprises a different heat transfer coefficient, h, or heat transfer area, A, than a second length of the first channel subjacent to a second temperature zone of the working surface. In embodiments, different heat transfer coefficients or heat transfer areas are provided as a function of temperature zone to make more independent the temperature control of the first and second temperature zones.
    Type: Application
    Filed: May 19, 2011
    Publication date: December 15, 2011
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Chetan MAHADESWARASWAMY, Kallol BERA, Larry D. ELIZAGA