Etchant Contains Solid Particle (e.g., Abrasive For Polishing, Etc.) Patents (Class 216/89)
  • Patent number: 8420537
    Abstract: Recrystallization and grain growth of metal, such as Cu, is achieved at higher anneal temperatures of 150° C. to 400° C., for example, for short anneal times of five to sixty minutes by forming a metal stress locking layer on the Cu before anneal and chemical-mechanical polishing. The stress locking layer extends the elastic region of the Cu by suppressing atom diffusion to the free surface, resulting in near zero tensile stress at room temperature after anneal. Stress voiding, which creates reliability problems, is thereby avoided. Improved grain size and texture are also achieved. The stress locking layer is removed after anneal by chemical-mechanical polishing leaving the Cu interconnect with low stress and improved grain size and texture.
    Type: Grant
    Filed: May 28, 2008
    Date of Patent: April 16, 2013
    Assignee: International Business Machines Corporation
    Inventors: Kaushik Chanda, Ronald G. Filippi, Charles C. Goldsmith, Ping-Chuan Wang, Chih-Chao Yang
  • Patent number: 8419963
    Abstract: A defect distribution in the vicinity of a surface of a glass substrate is inspected by a positron annihilation gamma ray measurement. A buffer layer including a brittle layer and/or a coating layer is created on the surface of the glass substrate. The brittle layer is formed by irradiating a gas cluster ion on the surface to deteriorate the glass. The coating layer is formed by coating the surface with a soft substance. Next, a thickness of the created buffer layer is measured by a positron annihilation gamma ray measurement. The surface of the glass substrate is then cleaned. To create a slurry, abrasive particles for the slurry are uniformly scattered on a polishing implement for polishing the glass substrate and a liquid component for the slurry is added thereto. The glass substrate is then chemically mechanically polished from the buffer layer with the slurry.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: April 16, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Tsuyoshi Moriya
  • Patent number: 8404146
    Abstract: A polishing liquid is provided which has good storage stability and is capable of inhibiting generation of scratching caused by aggregation of solid abrasive grains or the like during use. A polishing method using the polishing liquid is also provided. The polishing liquid includes: (a) an aqueous solution A including colloidal silica particles in an amount of from 5 mass % to 40 mass % with respect to the total mass of the aqueous solution A, and having a pH of from 1 to 7; and (b) an aqueous solution B including a quaternary ammonium cation, wherein the aqueous solution A and the aqueous solution B are separately prepared and mixed to provide the polishing liquid immediately before used in polishing.
    Type: Grant
    Filed: February 19, 2009
    Date of Patent: March 26, 2013
    Assignee: FUJIFILM Corporation
    Inventor: Toshiyuki Saie
  • Patent number: 8404129
    Abstract: A method and system for fabricating an optical component are described. The method and system include providing a first planarization stopping and a second planarization stopping structure. The first planarization stopping structure has a first height and a first edge. The second planarization stopping structure has a second height different from the first height and a second edge. The first edge is separated from the second edge by a distance. The method and system also include providing an optical material. The optical material resides at least between the first edge of the first planarization stopping structure and the second edge of the second planarization stopping structure. The method and system also include planarizing the optical components. The planarization removes a portion of the optical material to form a surface between the first planarization stopping structure and the second planarization stopping structure. This surface has a curvature.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: March 26, 2013
    Assignee: Western Digital (Fremont), LLC
    Inventors: Guanghong Luo, Danning Yang, Ming Jiang
  • Patent number: 8398811
    Abstract: A polishing apparatus has a polishing section (302) configured to polish a substrate and a measurement section (307) configured to measure a thickness of a film formed on the substrate. The polishing apparatus also has an interface (310) configured to input a desired thickness of a film formed on a substrate to be polished and a storage device (308a) configured to store polishing rate data on at least one past substrate therein. The polishing apparatus includes an arithmetic unit (308b) operable to calculate a polishing rate and an optimal polishing time based on the polishing rate data and the desired thickness by using a weighted average method which weights the polishing rate data on a lately polished substrate.
    Type: Grant
    Filed: August 24, 2011
    Date of Patent: March 19, 2013
    Assignee: Ebara Corporation
    Inventors: Tatsuya Sasaki, Naoshi Yamada, Yoshifumi Katsumata, Noburu Shimizu, Seiryo Tsuno, Takashi Mitsuya
  • Patent number: 8398878
    Abstract: Semiconductor wafers are polished by a material-removing polishing process A, on both sides of the wafer, using an abrasive-free polishing pad, and a polishing agent which contains abrasive; and a material-removing polishing process B, on at least one side of the wafer, using a polishing pad with a microstructured surface containing no materials which contact the wafer which are harder than the semiconductor material, and a polishing agent is added which has a pH? to 10 and contains no substances with abrasive action. Preferred is a method for producing a semiconductor wafer, comprising the following ordered steps: separating a semiconductor single crystal into wafers; simultaneously processing both sides of the wafer by chip-removing processing; polishing the wafer, comprising a polishing process A and a polishing process B; and CMP of one side of the wafer, removing <1 ?m.
    Type: Grant
    Filed: April 6, 2010
    Date of Patent: March 19, 2013
    Assignee: Siltronic AG
    Inventor: Georg Pietsch
  • Patent number: 8377825
    Abstract: Methods and apparatus for reducing damage of a semiconductor donor wafer include the steps of: (a) rotating a polishing pad, rotating the semiconductor donor wafer, applying a polishing slurry to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together; and (b) rotating the polishing pad and the semiconductor donor wafer, discontinuing the application of the polishing slurry, applying a rinsing fluid to the polishing pad, and pressing the semiconductor donor wafer and the polishing pad together, wherein step (a) followed by step (b) is carried out in sequence at least two times, and at least one of the following are reduced in at least two successive intervals of step (a): (i) a pressure at which the semiconductor donor wafer and the polishing pad are pressed together, (ii) a mean particle size of an abrasive within the polishing slurry, and (iii) a concentration of the slurry in water and stabilizers.
    Type: Grant
    Filed: October 30, 2009
    Date of Patent: February 19, 2013
    Assignee: Corning Incorporated
    Inventors: Jonas Bankaitis, Michael John Moore
  • Patent number: 8372305
    Abstract: The present invention relates to compositions for chemical-mechanical polishing comprising A 0.01% to 40% by weight based on the total amount of the composition of abrasive particles of at least one porous metal-organic framework material, wherein the framework material comprises at least one at least bidentate organic compound which is coordinately bound to at least one metal ion; B 40% to 99.8% by weight based on the total amount of the composition of a liquid carrier; and C 0.01% to 20% by weight based on the total amount of the composition of a polishing additive component. The invention further relates to the use of said composition as well as methods for chemical-mechanical polishing of a surface with the aid of said compositions.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 12, 2013
    Assignee: BASF SE
    Inventors: Markus Schubert, Sven Thate
  • Patent number: 8372303
    Abstract: Disclosed is cerium oxide powder for a CMP abrasive, which can improve polishing selectivity of a silicon oxide layer to a silicon nitride layer and/or within-wafer non-uniformity (WIWNU) during chemical mechanical polishing in a semiconductor fabricating process. More particularly, the cerium oxide powder is obtained by using cerium carbonate having a hexagonal crystal structure as a precursor. Also, CMP slurry comprising the cerium oxide powder as an abrasive, and a shallow trench isolation method for a semiconductor device using the CMP slurry as polishing slurry are disclosed.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: February 12, 2013
    Assignee: LG Chem, Ltd.
    Inventors: Myoung Hwan Oh, Seung Beom Cho, Jun Seok Nho, Jong Pil Kim, Jang Yul Kim
  • Patent number: 8366958
    Abstract: The present invention provides an etching solution for silver or silver alloy including at one at least ammonium compound represented by the formula (1), (2) or (3) below and an oxidant: wherein each of the variables is as defined herein.
    Type: Grant
    Filed: April 5, 2006
    Date of Patent: February 5, 2013
    Assignee: Inktec Co., Ltd.
    Inventors: Kwang-Choon Chung, Hyun-Nam Cho, Young-Kwan Seo
  • Patent number: 8361330
    Abstract: A laminate for making signs, the laminate comprising a readily-abraded layer and an abrasion-resistant layer. A method of making signs is also disclosed. The method includes providing a laminate comprising a first layer that is readily etched by abrasives and a second layer disposed beneath the first layer and substantially resistant to abrasive etching. A mask is applied to the top of the laminate; and abrasives are used to selectively remove a portion of the first layer, so as to form a relief image with a controlled and uniform relief depth.
    Type: Grant
    Filed: October 2, 2006
    Date of Patent: January 29, 2013
    Assignee: Ikonics Corporation
    Inventor: Toshifumi Komatsu
  • Patent number: 8349207
    Abstract: A chemical mechanical polishing aqueous dispersion includes (A) colloidal silica having an average particle size calculated from the specific surface area determined by the BET method of 10 to 60 nm, (B) an organic acid having two or more carboxyl groups and one or more hydroxyl groups in one molecule, and (C) a quaternary ammonium compound shown by the following general formula (1), wherein R1 to R4 individually represent hydrocarbon groups, and M? represents an anion, the chemical mechanical polishing aqueous dispersion having a pH of 3 to 5.
    Type: Grant
    Filed: February 20, 2008
    Date of Patent: January 8, 2013
    Assignee: JSR Corporation
    Inventors: Taichi Matsumoto, Tomikazu Ueno, Michiaki Andou
  • Patent number: 8343873
    Abstract: A method for producing a semiconductor wafer includes a number of steps in order including a bilateral material-removing process followed by rounding off an edge of the wafer and grinding front and back sides of the wafer by holding one side and grinding the other. The front and back arc then polished with a polishing cloth including bound abrasives and subsequently treated with an etching medium to carry out a material removal of no more than 1 ?m on each side. The front side is then polished using a polishing cloth including bound abrasives and the back side is simultaneously polished using a polishing cloth free of abrasives while a polish with abrasives is provided. The edge is then polished followed by polishing the back with a polishing cloth including bound abrasives and simultaneously polishing the front with a cloth free of abrasives while a polish including abrasives is provided.
    Type: Grant
    Filed: August 11, 2010
    Date of Patent: January 1, 2013
    Assignee: Siltronic AG
    Inventor: Juergen Schwandner
  • Patent number: 8343373
    Abstract: A method of forming an aligned connection between a nanotube layer and an etched feature is disclosed. An etched feature is formed having a top and a side and optionally a notched feature at the top. A patterned nanotube layer is formed such that the nanotube layer contacts portions of the side and overlaps a portion of the top of the etched feature. The nanotube layer is then covered with an insulating layer. Then a top portion of the insulating layer is removed to expose a top portion of the etched feature.
    Type: Grant
    Filed: August 13, 2009
    Date of Patent: January 1, 2013
    Assignee: Nantero Inc.
    Inventor: Colin D. Yates
  • Patent number: 8338302
    Abstract: Semiconductor wafer provided with a strain-relaxed layer of Si1-xGex, are polished in a first step of mechanical machining of the Si1-xGex layer of the semiconductor wafer in a polishing machine using a polishing pad containing fixedly bonded abrasive materials having a particle size of 0.55 ?m or less, and also a second step of a chemomechanical machining of the previously mechanically machined Si1-xGex layer of the semiconductor wafer using a polishing pad and with supply of a polishing agent slurry containing abrasive materials.
    Type: Grant
    Filed: November 2, 2009
    Date of Patent: December 25, 2012
    Assignee: Siltronic AG
    Inventors: Juergen Schwandner, Roland Koppert
  • Patent number: 8338300
    Abstract: Provided may be a slurry composition for chemical mechanical polishing (CMP) and a CMP method using the same. For example, the slurry composition may include a first polishing inhibitor including at least one of PO43? or HPO42? and a second polishing inhibitor, which may be a C2-C10 hydrocarbon compound having —SO3H or —OSO3H. By using the slurry composition for CMP and a CMP method using the same, increased selectivity to SiN may be obtained.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: December 25, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jong-won Lee, Chang-ki Hong, Sang-yeob Han
  • Patent number: 8334210
    Abstract: A method of manufacturing a semiconductor device, includes: (a) obtaining a surface of a polishing target, wherein an insulating film and a metal film are exposed; and (b) polishing the surface having the exposed insulating film and the exposed metal film. The step (b) includes; (b1) polishing the surface in a condition with high frictional force, and (b2) polishing the surface in a condition with usual frictional force lower than the high frictional force after the step (b1).
    Type: Grant
    Filed: August 29, 2007
    Date of Patent: December 18, 2012
    Assignee: Renesas Electronics Corporation
    Inventors: Masafumi Shiratani, Tomotake Morita
  • Patent number: 8314030
    Abstract: A method for fabricating a semiconductor device through a chemical mechanical polishing (CMP) process is provided. The CMP process is performed by using a slurry. The semiconductor device fabrication method can ensure the reliability and economical efficiency of the device by performing a CMP process using a CMP slurry having a high polishing selectivity with respect to a target surface, an anti-scratch characteristic, and a high global planarization characteristic.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: November 20, 2012
    Assignee: Hynix Semiconductor, Inc.
    Inventors: Jum-Yong Park, Noh-Jung Kwak, Yong-Soo Choi, Cheol-Hwi Ryu
  • Patent number: 8309467
    Abstract: A manufacturing method of a device is provided. In the manufacturing method, a substrate is provided. The substrate has a plurality of patterns and a plurality of openings formed thereon, and the openings are located among the patterns. A first liquid supporting layer is formed on the patterns, and the openings are filled with the first liquid supporting layer. The first liquid supporting layer is transformed into a first solid supporting layer. The first solid supporting layer includes a plurality of supporting elements formed in the openings, and the supporting elements are formed among the patterns. A treatment process is performed on the patterns. The first solid supporting layer that includes the supporting elements is transformed into a second liquid supporting layer. The second liquid supporting layer is removed.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: November 13, 2012
    Assignee: Nanya Technology Corporation
    Inventors: Chien-Mao Liao, Yi-Nan Chen
  • Patent number: 8277671
    Abstract: A polishing mixture and related method of polishing a material wafer surface, such as silicon carbide, are disclosed. The polishing mixture comprises; an abrasive and an oxidizer mixed in an acidic solution. Alumina may be used as the abrasive and the polishing mixture may have a pH less than or equal to seven (7).
    Type: Grant
    Filed: September 18, 2007
    Date of Patent: October 2, 2012
    Assignee: The Penn State Research Foundation
    Inventors: William J. Everson, David Snyder, Richard Gamble, Volker D. Heydemann
  • Patent number: 8262918
    Abstract: Methods of producing magnetic recording heads are disclosed. The methods can include providing a wafer comprising a substrate layer in which are disposed a plurality of damascene trenches. The method can further include depositing a pole material across the whole wafer, wherein the plurality of trenches are filled with the pole material. The methods can further include depositing a mask material over the pole material across the whole wafer. The methods can further include performing a first material removal process across the whole wafer to remove the mask material and a first portion of the pole material at a same material removal rate. The methods can further include performing a second material removal process to remove a second portion of the pole material above the substrate layer.
    Type: Grant
    Filed: March 25, 2009
    Date of Patent: September 11, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventors: Yun-Fei Li, Ronghui Zhou, Guanghong Luo, Ming Jiang
  • Patent number: 8247327
    Abstract: The invention provides chemical-mechanical polishing (CMP) compositions and methods for polishing a silicon-containing substrate. A method of the invention comprises the steps of contacting a silicon-containing substrate with a polishing pad and an aqueous CMP composition, and causing relative motion between the polishing pad and the substrate while maintaining a portion of the CMP composition in contact with the surface of the substrate to abrade at least a portion of the substrate. The CMP composition comprises a ceria abrasive, a polishing additive bearing a functional group with a pKa of about 4 to about 9, a nonionic surfactant with an hydrophilic portion and a lipophilic portion wherein the hydrophilic portion has a number average molecular weight of about 500 g/mol or higher, and an aqueous carrier, wherein the pH of the composition is 7 or less. The method reduces defects on the wafers, particularly local areas of high removal.
    Type: Grant
    Filed: July 30, 2008
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Francesco De Rege Thesauro, Zhan Chen
  • Patent number: 8247328
    Abstract: The invention provides a method of chemically-mechanically polishing a substrate comprising at least one layer of single crystal silicon carbide. The method utilizes a chemical-mechanical polishing composition comprising a liquid carrier, an abrasive, a catalyst comprising a transition metal composition, and an oxidizing agent.
    Type: Grant
    Filed: May 4, 2009
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Michael White, Lamon Jones, Jeffrey Gilliland
  • Patent number: 8247326
    Abstract: The invention is directed to a method of chemically-mechanically polishing a surface of a substrate, comprising contacting a surface of a substrate comprising nickel-phosphorous with a chemical-mechanical polishing composition comprising wet-process silica, an agent that oxidizes nickel-phosphorous, and an aminopolycarboxylic acid, wherein the polishing composition has a pH of about 1 to about 5, and abrading at least a portion of the nickel-phosphorous to polish the substrate.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: August 21, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Venkataramanan Balasubramaniam, Ping-Ha Yeung
  • Patent number: 8241516
    Abstract: A method for manufacturing a substrate for a magnetic disk, including the steps of (a) polishing a substrate with a polishing composition A containing alumina abrasives having an average particle size of from 0.05 to 0.5 ?m, and an oxidizing agent, and (b) polishing the substrate with a polishing composition B containing silica particles having an average particle size of from 0.005 to 0.1 ?m; a substrate for a magnetic disk, obtainable by the method for manufacturing a substrate for a magnetic disk; and a substrate for a magnetic disk having the following surface properties of a long-wavelength waviness of 0.05 nm or more and 0.3 nm or less, and an AFM surface roughness of 0.03 nm or more and 0.2 nm or less. The substrate for a magnetic disk may be suitably used in the manufacture of a hard disk having a high recording density. Especially, a hard disk having a recording density of 50 G bits or more per square inch may be industrially manufactured.
    Type: Grant
    Filed: May 16, 2008
    Date of Patent: August 14, 2012
    Assignee: Kao Corporation
    Inventors: Shigeo Fujii, Hiroaki Kitayama
  • Patent number: 8242020
    Abstract: A method for producing a semiconductor wafer. The method includes placing the semiconductor wafer in a cutout in a carrier. Both sides of the semiconductor wafer are polished between an upper and a lower polishing plate with a polishing agent until the thickness of the center of the semiconductor wafer is less than the thickness of the carrier and from 10 ?m to 30 ?m of semiconductor wafer material is removed. The polishing agent contains 0.1 to 0.4% by weight of SiO2 and 0.1 to 0.9% by weight of an alkaline component.
    Type: Grant
    Filed: August 26, 2009
    Date of Patent: August 14, 2012
    Assignee: Siltronic AG
    Inventors: Klaus Roettger, Gerhard Heier, Alexander Heilmaier
  • Patent number: 8236695
    Abstract: A method of passivating a CMP composition by dilution and determining the relationship between the extent of dilution and the static etch rate of copper. Such relationship may be used to control the CMP composition during the CMP polish to minimize the occurrence of dishing or other adverse planarization deficiencies in the polished copper, even in the presence of substantial levels of copper ions in the CMP composition and at the copper/CMP composition interface.
    Type: Grant
    Filed: September 19, 2008
    Date of Patent: August 7, 2012
    Assignee: Advanced Technology Materials, Inc.
    Inventors: Jun Liu, Mackenzie King, Michael S. Darsillo, Karl E. Boggs, Jeffrey F. Roeder, Peter Wrschka, Thomas H. Baum
  • Patent number: 8231796
    Abstract: A method and system provide a magnetic transducer that includes an underlayer and a nonmagnetic layer on the underlayer. The method and system include providing a trench in the nonmagnetic layer. The trench has a plurality of sides. The method and system also include providing a separation layer in the trench. A portion of the separation layer resides on the sides of the trench. The method and system include providing the main pole. At least part of the main pole resides in the trench on the portion of the separation layer and has a plurality of pole sides. The method and system further include removing at least a portion of the second nonmagnetic layer, thereby exposing the portion of the separation layer. The method and system also include providing a side shield. The separation layer magnetically separates the pole sides from the side shield.
    Type: Grant
    Filed: December 9, 2008
    Date of Patent: July 31, 2012
    Assignee: Western Digital (Fremont), LLC
    Inventors: Yun-Fei Li, Yingjian Chen
  • Patent number: 8226841
    Abstract: The invention provides a chemical-mechanical polishing composition comprising alpha alumina, fumed alumina, silica, an oxidizing agent that oxidizes nickel-phosphorous, oxalic acid, optionally, tartaric acid, optionally, a nonionic surfactant, optionally, a biocide, and water. The invention also provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: February 3, 2009
    Date of Patent: July 24, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Haresh Siriwardane
  • Patent number: 8221639
    Abstract: A method of producing a generally uniformly roughened surface on Ti 6/4 alloy or titanium for contact with living bone comprises exposing the Ti 6/4 alloy or titanium in an aqueous solution of citric acid and hydrofluoric acid for a suitable time period to remove the native oxide from the Ti 6/4 alloy or titanium so as to expose the Ti 6/4 or titanium metal surface and create the desired surface topography.
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: July 17, 2012
    Assignee: Biomet 3i, LLC
    Inventors: Ross Williams Towse, Robert Leslie Mayfield
  • Patent number: 8216946
    Abstract: A patterning method has a mask layer and undoped patterns sequentially formed on a target layer. A doping process is performed to surfaces of the undoped patterns to form doped patterns from the surfaces of the undoped patterns. A material is filled in the gaps between the doped patterns. A portion of the doped patterns are then removed to expose the top surfaces of the remaining undoped patterns. The material and the exposed undoped patterns are removed. A portion of the mask layer is removed using the remaining doped patterns as a mask to form a first pattern on the mask layer. A portion of the target layer is removed using the mask layer having the first pattern thereon as a mask so as to form on the target layer a second pattern complementary to the first pattern.
    Type: Grant
    Filed: June 23, 2009
    Date of Patent: July 10, 2012
    Assignee: Nanya Technology Corporation
    Inventors: Wei-Cheng Shiu, Hai-Han Hung, Ya-Chih Wang, Chien-Mao Liao, Shing-Yih Shih
  • Patent number: 8211325
    Abstract: A method and apparatus for polishing or planarizing a pre-metal dielectric layer by a chemical mechanical polishing process are provided. The method comprises providing a semiconductor substrate having feature definitions formed thereon, forming a pre-metal dielectric layer over the substrate, wherein the as-deposited pre-metal dielectric layer has an uneven surface topography, and planarizing the uneven surface topography of the pre-metal dielectric layer using chemical mechanical polishing techniques, wherein planarizing the uneven surface topography comprises polishing the pre-metal dielectric layer with a fixed abrasive polishing pad and a first polishing composition to remove a bulk portion of the pre-metal dielectric layer and achieve a first predetermined planarity, and polishing the pre-metal dielectric layer with a non-abrasive polishing pad and high selectivity slurry to remove a residual portion of the pre-metal dielectric and achieve a second predetermined planarity.
    Type: Grant
    Filed: April 9, 2010
    Date of Patent: July 3, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Jie Diao, Garlen C. Leung, Christopher Heung-Gyun Lee, Lakshmanan Karuppiah
  • Patent number: 8211193
    Abstract: A method of chemical mechanical polishing a surface of a substrate including the step of: contacting the substrate and a composition including a plurality of colloidal silica particles having less than 200 ppb of each trace metal impurity, excluding potassium and sodium, have less than 2 ppm residual alcohol and wherein the cumulative concentration of the trace metal, excluding potassium and sodium, is in the range from about 0.5 to about 5 ppm; and a medium for suspending the particles; wherein the composition is an ultrapure colloidal silica dispersion; and wherein the contacting is carried out at a temperature and for a period of time sufficient to planarize the substrate.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: July 3, 2012
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Deepak Mahulikar, Yuhu Wang, Ken A. Delbridge, Gert R. M. Moyaerts, Saeed H. Mohseni, Nichole R. Koontz, Bin Hu, Liqing Wen
  • Patent number: 8202443
    Abstract: An etching composition for preventing from leaning a capacitor contains hydrofluoric acid (HF), ammonium fluoride (NH4F), an alkyl ammonium fluoride (ReNH3F; where Re is a C1-C10 linear or branched alkyl radical), a surfactant, an alcohol compound, and water. The composition can effectively suppress the leaning phenomenon of capacitors during the formation of the capacitors, so that height of the storage node of the capacitor can be secured, capacitors with improved capacitance can be manufactured, and the process can be adapted to the production of both present and future devices.
    Type: Grant
    Filed: July 9, 2010
    Date of Patent: June 19, 2012
    Assignee: Hynix Semiconductor Inc.
    Inventor: Geun Su Lee
  • Patent number: 8193094
    Abstract: The embodiments of mechanisms described enables improved planarity of substrates, which is crucial for patterning and device yield improvement. Chemical-mechanical polishing (CMP) is used to remove film to planarize the substrate before the final thickness is reached or before all removal film is polished. The substrate is then measured for its topography and film thickness. The topography and thickness data are used by the gas cluster ion beam (GCIB) etch tool to determine how much film to remove on a particular location. GCIB etch enables removal of final layer to meet the requirements of substrate uniformity and thickness target. The mechanisms enable improved planarity to meet the requirement of advanced processing technologies.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: June 5, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Shiang-Bau Wang
  • Patent number: 8182709
    Abstract: By creating a temperature profile across a polishing pad, a respective temperature profile may be obtained in a substrate to be polished, which may result in a respective varying removal rate across the substrate for a chemically reactive slurry material or for an electro-chemically activated polishing process. Hence, highly sensitive materials, such as material comprising low-k dielectrics, may be efficiently polished with a high degree of controllability.
    Type: Grant
    Filed: June 4, 2008
    Date of Patent: May 22, 2012
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Jens Heinrich, Gerd Marxsen
  • Patent number: 8173037
    Abstract: A wafer polish monitoring method and device for detecting the end point of the polishing of a conductive film with high precision and accuracy by monitoring the variation of the film thickness of the conductive film without adverse influence of slurry or the like after the film thickness of the conductive film decreases to an extremely small film thickness defined by the skin depth. A high-frequency transmission path is formed in a portion facing the conductive film on the surface of the wafer, the polishing removal state of the conductive film is evaluated based at least on the transmitted electromagnetic waves passing through the high-frequency transmission path or the reflected electromagnetic waves that are reflected without passing through the high-frequency transmission path, and the end point of the polishing removal and the point equivalent to the end point of the polishing removal are detected.
    Type: Grant
    Filed: January 10, 2008
    Date of Patent: May 8, 2012
    Assignee: Tokyo Semitsu Co. Ltd
    Inventors: Takashi Fujita, Toshiyuki Yokoyama, Keita Kitade
  • Patent number: 8163650
    Abstract: Disclosed is an adjuvant for use in simultaneous polishing of a cationically charged material and an anionically charged material, which forms an adsorption layer on the cationically charged material in order to increase polishing selectivity of the anionically charged material, wherein the adjuvant comprises a polyelectrolyte salt containing: (a) a mixture of a linear polyelectrolyte having a weight average molecular weight of 2,000˜50,000 with a graft type polyelectrolyte that has a weight average molecular weight of 1,000˜20,000 and comprises a backbone and a side chain; and (b) a basic material. CMP (chemical mechanical polishing) slurry comprising the above adjuvant and abrasive particles is also disclosed.
    Type: Grant
    Filed: December 6, 2006
    Date of Patent: April 24, 2012
    Assignee: LG Chem, Ltd.
    Inventors: Gi Ra Yi, Jong Pil Kim, Jung Hee Lee, Kwang Ik Moon, Chang Bum Ko, Soon Ho Jang, Seung Beom Cho, Young Jun Hong
  • Patent number: 8153526
    Abstract: A method for performing a chemical-mechanical polishing (CMP) is provided. The method includes processing a semiconductor substrate to form a dummy gate structure on the substrate, to form a hard mask on the dummy gate structure, and to form a contact etch stop layer (CESL) and an interlayer dielectric (ILD) layer on the hard mask, performing a first CMP process with a first slurry to modify a non-planar topography of the ILD layer, performing a second CMP process with a second slurry to remove the hard mask, and performing a third CMP process with a third slurry to remove an interfacial layer that forms between the dummy gate and hard mask during semiconductor processing.
    Type: Grant
    Filed: July 8, 2009
    Date of Patent: April 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shen-Nan Lee, Huan-Just Lin, Shih-Chang Chen
  • Patent number: 8142675
    Abstract: A composition for chemical-mechanical planarization comprises periodic acid and an abrasive present in a combined amount sufficient to planarize a substrate surface having a feature thereon comprising a noble metal, noble metal alloy, noble metal oxide, or any combination thereof. In one embodiment, the periodic acid is present in an amount in a range of from about 0.05 to about 0.3 moles/kilogram, and the abrasive is present in an amount in a range of from about 0.2 to about 6 weight percent. In another embodiment, the composition further comprises a pH-adjusting agent present in an amount sufficient to cause the pH of the composition to be in a range of from about pH 5 to about pH 10, or of from about pH 1 to about pH 4.
    Type: Grant
    Filed: April 28, 2009
    Date of Patent: March 27, 2012
    Assignee: Air Products and Chemicals, Inc.
    Inventors: Robert J. Small, Zhefei J. Chen
  • Patent number: 8138091
    Abstract: The invention provides a chemical-mechanical polishing composition comprising a cationic abrasive, a cationic polymer, an inorganic halide salt, and an aqueous carrier. The invention further provides a method of chemically-mechanically polishing a substrate with the aforementioned polishing composition. The polishing composition exhibits selectivity for removal of silicon nitride over removal of silicon oxide and polysilicon.
    Type: Grant
    Filed: April 2, 2009
    Date of Patent: March 20, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Jeffrey M. Dysard, Timothy P. Johns
  • Patent number: 8114774
    Abstract: The invention relates to a method of manufacturing a semiconductor device with a substrate and a semiconductor body, whereby in the semiconductor body a semiconductor element is formed by means of a mesa-shaped protrusion of the semiconductor body, which is formed on the surface of the semiconductor device as a nano wire, whereupon a layer of a material is deposited over the semiconductor body and the resulting structure is subsequently planarized in a chemical-mechanical polishing process such that an upper side of the nano wire becomes exposed. According to the invention, a further layer of a further material is deposited over the semiconductor body with the nano wire before the layer of the material is deposited, which further layer is given a thickness smaller than the height of the nano wire, and a material is chosen for the further material such that, viewed in projection, the transition between the layer and the further layer is discernible before the nano wire is reached.
    Type: Grant
    Filed: June 6, 2007
    Date of Patent: February 14, 2012
    Assignee: NXP B.V.
    Inventors: Godefridus Adrianus Maria Hurkx, Johannes Josephus Theodorus Marinus Donkers
  • Patent number: 8114775
    Abstract: A chemical mechanical polishing composition contains 1) water, 2) optionally an abrasive material, 3) an oxidizer, preferably a per-type oxidizer, 4) a small amount of soluble metal-ion oxidizer/polishing accelerator, a metal-ion polishing accelerator bound to particles such as to abrasive particles, or both; and 5) at least one of the group selected from a) a small amount of a chelator, b) a small amount of a dihydroxy enolic compound, and c) a small amount of an organic accelerator. Ascorbic acid in an amount less than 800 ppm, preferably between about 100 ppm and 500 ppm, is the preferred dihydroxy enolic compound. The polishing compositions and processes are useful for substantially all metals and metallic compounds found in integrated circuits, but is particularly useful for tungsten.
    Type: Grant
    Filed: January 13, 2009
    Date of Patent: February 14, 2012
    Assignee: DuPont Air Products Nanomaterials, LLC
    Inventors: Junaid Ahmed Siddiqui, Daniel Hernandez Castillo, Steven Masami Aragaki, Robin Edward Richards
  • Patent number: 8110120
    Abstract: A method of manufacturing a liquid crystal display apparatus includes forming at least one assembly for forming a plurality of finished liquid crystal display apparatuses, by opposing two glass substrates to have a space therebetween and sealing a periphery of the space between the two glass substrates by an outer peripheral seal member. Outer surfaces of the two glass substrates are etched by soaking the assembly in an etching solution within an etching bath while maintaining a temperature and a concentration of the etching solution within the etching bath at a substantially constant temperature and at a substantially constant concentration. The etched outer surfaces of the glass substrates are flattened by polishing the outer surfaces of the glass substrates.
    Type: Grant
    Filed: July 26, 2007
    Date of Patent: February 7, 2012
    Assignee: Casio Computer Co., Ltd.
    Inventors: Toshiharu Nishino, Yasushi Chiba, Masami Hara
  • Patent number: 8101093
    Abstract: The invention provides methods of polishing a noble metal-containing substrate with one of two chemical-mechanical polishing compositions. The first chemical-mechanical polishing composition comprises (a) an abrasive comprising ?-alumina, (b) about 0.05 to about 50 mmol/kg of ions of calcium, strontium, barium, or mixtures thereof, and (c) a liquid carrier comprising water. The second chemical-mechanical polishing composition comprises (a) an abrasive selected from the group consisting of ?-alumina, ?-alumina, ?-alumina, ?-alumina, diamond, boron carbide, silicon carbide, tungsten carbide, titanium nitride, and mixtures thereof, (b) about 0.05 to about 3.5 mmol/kg of ions of calcium, strontium, barium, magnesium, zinc, or mixtures thereof, and (c) a liquid carrier comprising water.
    Type: Grant
    Filed: February 26, 2009
    Date of Patent: January 24, 2012
    Assignee: Cabot Microelectronics Corporation
    Inventors: Francesco de Rege Thesauro, Kevin J. Moeggenborg, Vlasta Brusic, Benjamin P. Bayer
  • Patent number: 8088690
    Abstract: The instant invention is a method of polishing a substrate including contacting a substrate having at least one metal layer including copper with a chemical-mechanical polishing composition. The CMP composition includes an abrasive, a surfactant, an oxidizer, an organic acid including polyacrylic acid or polymethacrylic acid, a corrosion inhibitor, and a liquid carrier. A portion of the copper in the metal layer is abraded to polish the substrate. A second CMP composition contacts the abraded substrate, the second acrylate free composition including an abrasive, a surfactant, an oxidizer, and a corrosion inhibitor, and a liquid carrier. Any dendrites that may have formed on the substrate are removed through abrasion.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: January 3, 2012
    Assignee: International Business Machines Corporation
    Inventors: Thomas L. McDevitt, Graham M. Bates, Eva A. Shah, Matthew T. Tiersch, Eric J. White
  • Patent number: 8084362
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive, wherein the surface of the abrasive is modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Grant
    Filed: May 25, 2007
    Date of Patent: December 27, 2011
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Patent number: 8084363
    Abstract: The present invention relates to polishing slurry and polishing method used for polishing in a process for forming wirings of a semiconductor device, and the like. There are provided polishing slurry giving a polished surface having high flatness even if the polished surface is made of two or more substances, and further, capable of suppressing metal residue and scratches after polishing, and a method of chemical mechanical polishing using this. The polishing slurry of the present invention is polishing slurry containing at least one of a surfactant and an organic solvent, and a metal oxide dissolving agent and water, or polishing slurry containing water and abrasive of which surface has been modified with an alkyl group, and preferably, it further contains a metal oxidizer, water-soluble polymer, and metal inhibitor.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: December 27, 2011
    Assignee: Hitachi Chemical Co., Ltd.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Masato Fukasawa, Shouichi Sasaki
  • Patent number: 8076246
    Abstract: A method comprises a first multilayer body forming step of forming a first multilayer body on a first cladding layer, the first multilayer body including a core layer and a first polishing stop layer in order from the first cladding layer side; a first multilayer body patterning step of pattering the first multilayer body, so as to expose the first cladding layer about the patterned first multilayer body; a second multilayer body forming step of forming a second multilayer body on the exposed first cladding layer and patterned first multilayer body, the second multilayer body including a second cladding layer and a second polishing stop layer in order from the first cladding layer side; and a removing step of polishing away a part of the second multilayer body formed on the first multilayer body.
    Type: Grant
    Filed: January 26, 2009
    Date of Patent: December 13, 2011
    Assignee: TDK Corporation
    Inventors: Kosuke Tanaka, Koji Shimazawa
  • Patent number: 8075792
    Abstract: A method (300) of texturing silicon surfaces (116) such to reduce reflectivity of a silicon wafer (110) for use in solar cells. The method (300) includes filling (330, 340) a vessel (122) with a volume of an etching solution (124) so as to cover the silicon surface 116) of a wafer or substrate (112). The etching solution (124) is made up of a catalytic nanomaterial (140) and an oxidant-etchant solution (146). The catalytic nanomaterial (140) may include gold or silver nanoparticles or noble metal nanoparticles, each of which may be a colloidal solution. The oxidant-etchant solution (146) includes an etching agent (142), such as hydrofluoric acid, and an oxidizing agent (144), such as hydrogen peroxide. Etching (350) is performed for a period of time including agitating or stirring the etching solution (124). The etch time may be selected such that the etched silicon surface (116) has a reflectivity of less than about 15 percent such as 1 to 10 percent in a 350 to 1000 nanometer wavelength range.
    Type: Grant
    Filed: March 21, 2008
    Date of Patent: December 13, 2011
    Assignee: Alliance for Sustainable Energy, LLC
    Inventors: Howard Branz, Anna Duda, David S. Ginley, Vernon Yost, Daniel Meier, James S. Ward