Ultraviolet Light Source Patents (Class 250/365)
  • Patent number: 8841641
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: May 28, 2013
    Date of Patent: September 23, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Patent number: 8785892
    Abstract: Devices and corresponding methods of use are described herein that may include an enclosing structure defining a closed loop flow path and a system generating a plasma at a plasma site, e.g. laser produced plasma system, where the plasma site may be in fluid communication with the flow path. For the device, a gas may be disposed in the enclosing structure which may include an ion-stopping buffer gas and/or an etchant. A pump may be provided to force the gas through the closed loop flow path. One or more heat exchangers removing heat from gas flowing in the flow path may be provided. In some arrangements, a filter may be used to remove at least a portion of a target species from gas flowing in the flow path.
    Type: Grant
    Filed: June 11, 2012
    Date of Patent: July 22, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Alexander I. Ershov, Igor V. Fomenkov
  • Patent number: 8785895
    Abstract: A target supply apparatus mounted in a chamber in which extreme ultraviolet light is generated by introducing a target material and a laser beam into the chamber may include a target generator having a nozzle, a first pipe configured to cover the nozzle, a cover opening provided in the first pipe to allow the target material to pass through the first pipe, and a first valve configured to open and close the cover opening.
    Type: Grant
    Filed: June 27, 2013
    Date of Patent: July 22, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Hiroshi Umeda, Taku Yamazaki, Hakaru Mizoguchi, Toshihiro Nishisaka
  • Patent number: 8779403
    Abstract: An apparatus and a method for generating extreme ultra violet radiation are provided. The apparatus for generating extreme ultra violet radiation includes a light source, a first reflecting mirror on which source light emitted from the light source is incident, a second reflecting mirror on which first reflected light reflected by the first reflecting mirror is incident, a focus mirror on which second reflected light reflected by the second reflecting mirror is incident, the focus mirror reflecting third reflected light back to the second reflecting mirror, and a gas cell on which fourth reflected light reflected by the second reflecting mirror is incident.
    Type: Grant
    Filed: December 11, 2013
    Date of Patent: July 15, 2014
    Assignees: Samsung Electronics Co., Ltd., Fine Semitech Corp.
    Inventors: Dong-Gun Lee, Eok-Bong Kim, Jong-Ju Park, Seong-Sue Kim
  • Patent number: 8772744
    Abstract: UV-C light assembly is designed to kill germs (bacteria, molds, protozoa, virus, and yeast) in the forced airstreams of HVAC systems, thus preventing the spreading of germs into other rooms or spaces. An air-flow activated switch is invented for turning on the UV-C lights when airstreams pass through and turning off when airstreams stop in the HVAC systems. The UV-C light assembly is installed inside duct through air filter's opening. The UV-C germicidal assembly is an easy add-on to an existing HVAC system for indoor air purification. The UV-C light sources are either LEDs or fluorescent tubes.
    Type: Grant
    Filed: January 29, 2013
    Date of Patent: July 8, 2014
    Inventor: Benjamin Dengfa Liu
  • Patent number: 8748828
    Abstract: The present invention includes an interposer disposed on a surface of a substrate, a light sensing array sensor disposed on the interposer, the light sensing array sensor being back-thinned and configured for back illumination, the light sensing array sensor including columns of pixels, one or more amplification circuitry elements configured to amplify an output of the light sensing array sensor, the amplification circuits being operatively connected to the interposer, one or more analog-to-digital conversion circuitry elements configured to convert an output of the light sensing array sensor to a digital signal, the ADC circuitry elements being operatively connected to the interposer, one or more driver circuitry elements configured to drive a clock or control signal of the array sensor, the interposer configured to electrically couple at least two of the light sensing array sensor, the amplification circuits, the conversion circuits, the driver circuits, or one or more additional circuits.
    Type: Grant
    Filed: September 18, 2012
    Date of Patent: June 10, 2014
    Assignee: KLA-Tencor Corporation
    Inventors: David L. Brown, Guowu Zheng, Yung-Ho Chuang, Venkatraman Iyer
  • Patent number: 8748829
    Abstract: An ultraviolet curing system separates the source of ultraviolet radiation and the controller, allowing an operator to stand at a relatively great distance away from the ultraviolet radiation when applied. The apparatus includes a base unit and a source of intense ultraviolet (UV) radiation coupled to the base unit by way of a pivoting arm. A controller, disposed remotely from the base unit, allows an operator to activate the source of UV radiation at a distance of at least several feet away from the source. The preferred embodiment allows an operator to control the UV radiation at a distance of 10 to 100 feet or more using a wired or wireless interconnection between the controller and the base unit. A detachable infrared lamp may be optionally disposed along side the UV source.
    Type: Grant
    Filed: June 18, 2013
    Date of Patent: June 10, 2014
    Inventor: Ronald Lipson
  • Patent number: 8742381
    Abstract: A radiation source includes an uncapped Mo/Si multilayer mirror, and a cleaning apparatus configured to remove a deposition comprising Sn on the uncapped Mo/Si multilayer mirror. The cleaning apparatus is configured to provide a gas comprising one or more of H2, D2 and HD and one or more additional compounds selected from hydrocarbon compounds and/or silane compounds in at least part of the radiation source, to produce hydrogen and/or deuterium radicals and radicals of the one or more additional compounds, from the gas, and to supply the hydrogen and/or deuterium radicals and radicals of the one or more additional compounds to the uncapped Mo/Si multilayer mirror to remove at least part of the deposition.
    Type: Grant
    Filed: February 22, 2013
    Date of Patent: June 3, 2014
    Assignee: ASML Netherlands B.V.
    Inventors: Vadim Yevgenyevich Banine, Maarten Marinus Johannes Wilhelmus Van Herpen, Wouter Anthon Soer, Martin Jacobus Johan Jak
  • Patent number: 8742380
    Abstract: A target supply device is provided that may include a pair of rails arranged to face each other, the rails having electrically conductive properties, a target transport mechanism configured to supply a target material into a space between the rails and in contact with the rails, and a power supply connected to the rails and configured to supply a current to the target material through the rails. Methods and systems using the target supply device are also provided.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: June 3, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Tsukasa Hori, Hideo Hoshino, Tatsuya Yanagida
  • Patent number: 8698112
    Abstract: An apparatus, configured to generate extreme ultraviolet light by irradiating a target material by a laser beam from a laser apparatus to turn the target material into plasma, includes a chamber with an inlet for introducing the laser beam into the chamber, the chamber including an electrically conductive structural member; and a target generator including an electrode having a first through-hole through which a charged target passes, an electrical insulator for holding the electrode, and a shielding member having a second through-hole, through which the charged target passes, the shielding member being positioned between a plasma generation region and at least the electrical insulator. The target generator generates the charged target of a liquid target material and output the charged target toward the plasma generation region inside the chamber, and the shielding member has electrically conductive properties and is connected electrically to the electrically conductive structural member of the chamber.
    Type: Grant
    Filed: February 14, 2012
    Date of Patent: April 15, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Takayuki Yabu, Kouji Kakizaki, Takanobu Ishihara, Tamotsu Abe, Osamu Wakabayashi
  • Patent number: 8686370
    Abstract: A method is disclosed for in-situ monitoring of an EUV mirror to determine a degree of optical degradation. The method may comprise the steps/acts of irradiating at least a portion of the mirror with light having a wavelength outside the EUV spectrum, measuring at least a portion of the light after the light has reflected from the mirror, and using the measurement and a pre-determined relationship between mirror degradation and light reflectivity to estimate a degree of multi-layer mirror degradation. Also disclosed is a method for preparing a near-normal incidence, EUV mirror which may comprise the steps/acts of providing a metallic substrate, diamond turning a surface of the substrate, depositing at least one intermediate material overlying the surface using a physical vapor deposition technique, and depositing a multi-layer mirror coating overlying the intermediate material.
    Type: Grant
    Filed: November 13, 2012
    Date of Patent: April 1, 2014
    Assignee: Cymer, LLC
    Inventors: Norbert R. Bowering, Oleh V. Khodykin
  • Patent number: 8680496
    Abstract: A system for sanitizing an enclosed structure has a plurality of sensors, a germicidal ultraviolet light source, and a controller. A first sensor detects the presence of humans or animals within the enclosed structure, and a second sensor detects the position of at least one door of the enclosed structure. The ultraviolet light source provides electromagnetic radiation in the ultraviolet range. The controller receives inputs from the first and second sensors and instructs emission or cessation of emission of the electromagnetic radiation based on the received input.
    Type: Grant
    Filed: August 26, 2013
    Date of Patent: March 25, 2014
    Assignee: Elevated Health Systems, LLC
    Inventor: David G. Leben
  • Patent number: 8673157
    Abstract: The present invention relates to a reactor for the photocatalytic treatment of liquid or gaseous streams, which reactor comprises a tube through which the stream to be treated flows, wherein, in the tube, there are arranged at least one light source, at least one flat means M1 provided with at least one photocatalytically active material and at least one flat means M2 reflecting the light radiation radiated by the at least one light source, wherein the reflecting surface of the at least one means M2 and the inner wall of the tube are at an angle greater than or equal to 0°, in such a manner that the light exiting from the light source is reflected by the at least one means M2 onto the photocatalytically active material, and to a method for the photocatalytic treatment of liquid or gaseous streams by irradiation with light in the reactor according to the invention.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: March 18, 2014
    Assignee: BASF SE
    Inventors: Grigorios Kolios, Florina Corina Patcas, Goetz-Peter Schindler, Alexandra Seeber, Gerrit Waters
  • Patent number: 8669543
    Abstract: An extreme ultraviolet light generation system used with a laser apparatus may be provided, and the extreme ultraviolet light generation system may include: a chamber including at least one window for at least one laser beam and a target supply unit for supplying a target material into the chamber; and at least one polarization control unit, provided on a laser beam path, for controlling a polarization state of the at least one laser beam.
    Type: Grant
    Filed: March 25, 2011
    Date of Patent: March 11, 2014
    Assignee: Gigaphoton, Inc.
    Inventors: Tatsuya Yanagida, Osamu Wakabayashi
  • Patent number: 8629417
    Abstract: An extreme ultraviolet light generation apparatus used in combination with a laser system, the apparatus may include: a chamber provided with at least one inlet port for introducing a laser beam outputted from the laser system into the chamber; a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber, where the target material is irradiated with the laser beam; at least one optical element disposed inside the chamber; a magnetic field generation unit for generating a magnetic field around the predetermined region; an ion collection unit disposed in a direction of a line of magnetic force of the magnetic field for collection an ion which is generated when the target material is irradiated with the laser beam and is flowing along the line of magnetic force; and a gas introduction unit for introducing an etching gas into the chamber.
    Type: Grant
    Filed: July 2, 2012
    Date of Patent: January 14, 2014
    Assignee: Gigaphoton Inc.
    Inventors: Shinji Nagai, Tamotsu Abe, Takanobu Ishihara, Osamu Wakabayashi
  • Patent number: 8610095
    Abstract: An extreme ultraviolet light source device in accordance with the present invention suppresses a surface that comes into contact with a target material in a molten state from being eroded by the target material, being reacted with the target material, and being cut by the target material. A target generating unit 120 injects molten tin in a droplet shape as a target 201 into a chamber 101. A protective coating provided with an erosion resistance property to tin is configured on a section that comes into contact with tin in a molten state for each face of a nozzle part 121 and a tank part 122. Alternatively, a part that comes into contact with tin in a molten state is made of a material provided with an erosion resistance property and a heat resistance property.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: December 17, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takayuki Yabu, Takeshi Asayama, Fumika Yoshida, Osamu Wakabayashi
  • Patent number: 8606981
    Abstract: Disclosed is a disinfecting docking station for at least one portable electronic device, such as a medical technician's tablet computer, that has at least one recharging connector. An enclosure is adapted to receive the at least one portable electronic device therein through an openable side that includes a selectively closable door. Each electronic device is exposed to a disinfecting wavelength of light, such as UV light, to disinfect the surface of the device. The enclosure includes supports that minimally contact each device so that the device will be substantially exposed to the UV light. A control circuit monitors the exposure time and level, and is programmable to activate the UV light based on pre-set criteria. The enclosures may be stacked and provide power and network connectivity to each device while docked therein.
    Type: Grant
    Filed: July 6, 2012
    Date of Patent: December 10, 2013
    Assignee: ReadyDock, Inc.
    Inventors: David G. Engelhardt, Bill Saimond
  • Patent number: 8586954
    Abstract: An extreme ultraviolet light source apparatus generating an extreme ultraviolet light from plasma generated by irradiating a target material with a laser light within a chamber, and controlling a flow of ions generated together with the extreme ultraviolet light using a magnetic field or an electric field, the extreme ultraviolet light source apparatus comprises an ion collector device collecting the ion via an aperture arranged at a side of the chamber, and an interrupting mechanism interrupting movement of a sputtered particle in a direction toward the aperture, the sputtered particle generated at an ion collision surface collided with the ion in the ion collector device.
    Type: Grant
    Filed: March 13, 2012
    Date of Patent: November 19, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Kouji Kakizaki, Akira Endo, Shinji Nagai
  • Patent number: 8569723
    Abstract: An extreme ultraviolet light source apparatus has a magnetic field generator which generates a magnetic field region around a direction of the magnetic field passing through a plasma region in which a plasma is to be generated and converges charged particles including ion emitted from the plasma region toward the direction of the magnetic field, a first charged particle collector (receiver) mounted at both sides of an axis of the magnetic field in the magnetic field region in order to collect (receive) the charged particles converged by the magnetic field, a target supply unit supplying a target from a nozzle located outside a converging region in which the charged particles are to be converged inside the magnetic field region in an extreme ultraviolet light generating chamber, and a target collector located at a position opposite to the nozzle, the target retrieval portion retrieving a residual target which does not contribute to generation of the plasma.
    Type: Grant
    Filed: July 14, 2011
    Date of Patent: October 29, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Shinji Nagai, Takanobu Ishihara, Kouji Kakizaki, Tamotsu Abe
  • Patent number: 8563956
    Abstract: An attenuation optical system is in a beam path of a light beam traveling through a regenerative ring resonator. The attenuation optical system includes an actuator configured to receive an electromagnetic signal; and a plate mounted to the actuator to be moveable between a plurality of positions, with each position placing an attenuation region in the beam path such that the beam profile is covered by the attenuation region and each attenuation region representing an attenuation factor applied to the light beam as determined by a geometry of the attenuation region. At least one attenuation region includes a plurality of evenly-spaced elongated openings between solid energy-reflecting surfaces and at least one attenuation region includes an open area that is larger than the beam profile of the light beam.
    Type: Grant
    Filed: October 12, 2012
    Date of Patent: October 22, 2013
    Assignee: Cymer, LLC
    Inventors: John Melchior, Robert J. Rafac, Rostislav Rokitski
  • Patent number: 8558182
    Abstract: A novel phase-coded aperture, associated imaging system, and design method is disclosed. The optical imaging system includes a coded-aperture followed optically by a detector array and includes an image processor. A diffraction pattern in the form of a band-limited uniformly redundant array is formed on the detector array when focusable radiation from a point source in object space is modulation by the transmission function of the coded-aperture. Since diffraction effects cannot be ignored in the optical regime, an iterative phase retrieval method is used to calculate the phase-coded aperture transmission function. Correlation type processing can be applied for the image recovery.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: October 15, 2013
    Assignee: University of Rochester
    Inventors: Wanli Chi, Nicholas George
  • Patent number: 8558203
    Abstract: This invention provides for a removable/replaceable, wavelength-transforming sleeve/sheath to be placed around a primary UV radiation source that emits a primary UV wavelength spectral distribution; such that at least a portion of the sleeve/sheath transforms at least a portion of the primary UV wavelength spectral distribution to a different secondary wavelength spectral distribution. Additionally, the sleeve/sheath may help to prevent breakage of the primary UV radiation source and to contain the broken pieces in the event of breakage. In some embodiments, a portion of the sleeve/sheath may be coupled with a UV reflective surface to direct radiation in a preferred direction. Individual sleeves/sheaths may have various patterns of wavelength-transforming materials that emit one or more secondary wavelength spectral distributions and the sleeve/sheath may also have one or more sections that allow transmission of the primary UV wavelength spectral distribution.
    Type: Grant
    Filed: February 17, 2013
    Date of Patent: October 15, 2013
    Inventor: William G Gardner
  • Patent number: 8530870
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: September 10, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Patent number: 8530869
    Abstract: An extreme ultraviolet light source apparatus comprises a target supply unit supplying a target into a vacuum chamber, a laser oscillator outputting a laser light into the vacuum chamber, a collector mirror outputting an extreme ultraviolet light outside by reflecting the extreme ultraviolet light emitted from the target being ionized as a plasma by irradiation with the laser light at a plasma luminescence point in the vacuum chamber, and an ion debris removal unit at least a part of which is located in an obscuration region including the plasma luminescence point.
    Type: Grant
    Filed: July 15, 2011
    Date of Patent: September 10, 2013
    Assignees: Gigaphoton Inc., Ebara Corporation, Kabushiki Kaisha Topcon
    Inventors: Shinji Nagai, Takanobu Ishihara, Kouji Kakizaki, Hiroshi Sobukawa, Takeshi Murakami, Masahiro Inoue
  • Patent number: 8525126
    Abstract: The present invention relates to a UV fluid sterilizer, which is suitably formed to sterilize fluid having poor UV transmission. According to the present invention, the UV fluid sterilizer includes a plurality of UV sterilization units.
    Type: Grant
    Filed: November 1, 2010
    Date of Patent: September 3, 2013
    Inventors: Sung Chul Lee, Jin Auck Kim, Yu Sup Kim
  • Patent number: 8519361
    Abstract: A system for sanitizing an enclosed structure has first and second sensors, a germicidal ultraviolet light source, a motor, and a controller. The first sensor detects humans within the enclosed structure. The second sensor detects the position of at least one door to the structure. The motor selectively moves the light source from an inactive position to an active position. The controller receives inputs from the first and second sensors and transmits outputs to the light source and the motor. When the controller receives signals that no humans or animals are present in the enclosed structure and that the door is in a closed position, the controller transmits a signal to the motor to move the light source from the inactive to the active position. If humans are detected in the structure or if the door is detected open, then the controller deactivates or repositions the light source.
    Type: Grant
    Filed: January 16, 2012
    Date of Patent: August 27, 2013
    Assignee: Elevated Health Systems, LLC
    Inventor: David Leben
  • Patent number: 8513630
    Abstract: In an extreme ultraviolet light source apparatus generating an extreme ultraviolet light from a plasma generated by irradiating a target, which is a droplet D of molten Sn, with a laser light, and controlling the flow direction of ion generated at the generation of the extreme ultraviolet light by a magnetic field or an electric field, an ion collection cylinder 20 is arranged for collecting the ion, and ion collision surfaces Sa and Sb of the ion collection cylinder 20 are provided with or coated with Si, which is a metal whose sputtering rate with respect to the ion is less than one atom/ion.
    Type: Grant
    Filed: October 17, 2011
    Date of Patent: August 20, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Yoshifumi Ueno, Georg Soumagne, Shinji Nagai, Akira Endo, Tatsuya Yanagida
  • Patent number: 8481985
    Abstract: This specification relates to an improved method, process and apparatus for disinfecting and sterilizing all types of surfaces and indoor air and room air contaminated with microorganisms. The improved apparatus consists of a multi-wavelength narrow spectral width UV source that is more effective than mercury based 254 nm germicidal lamps for destroying the DNA and outer shell or membrane of virus, bacteria, spores and cists.
    Type: Grant
    Filed: January 29, 2009
    Date of Patent: July 9, 2013
    Inventor: S. Edward Neister
  • Patent number: 8476603
    Abstract: A device for analyzing plants, by luminous excitation and fluorescence measurement, can be used on site without preparation of the plants. The device evaluates the content of a compound in the skin or epidermis of a plant. The geometry of the device is characterized by a direction of the excitation light rays and a direction of the fluorescence light rays which are non-collinear with each other. The device includes several sets of emitters of different wavelengths, each including several emitters, and makes it possible to measure a plurality of excitation-fluorescence combinations. A method for evaluating and monitoring the development and maturity of a crop, as well as a method for monitoring and controlling plant treatment are also described.
    Type: Grant
    Filed: May 29, 2008
    Date of Patent: July 2, 2013
    Assignees: Force-A, Centre National de la Recherche Scientifique, Universite Paris Sud
    Inventors: Gwendal Latouche, Zoran Cerovic, Yves Goulas, Jean-Luc Ayral, Camelia Tinei-Moise, Andrei Nicolae Moise
  • Patent number: 8471227
    Abstract: An extreme ultraviolet light source apparatus in which a target material is irradiated with a laser beam and turned into plasma and extreme ultraviolet light is emitted from the plasma may include: a chamber in which the extreme ultraviolet light is generated; an electromagnetic field generation unit for generating at least one of an electric field and a magnetic field inside the chamber; and a cleaning unit for charging and separating debris adhered to an optical element inside the chamber.
    Type: Grant
    Filed: November 10, 2011
    Date of Patent: June 25, 2013
    Assignee: Gigaphoton Inc.
    Inventors: Kouji Kakizaki, Shinji Nagai, Tatsuya Yanagida
  • Patent number: 8461560
    Abstract: An apparatus and method is disclosed which includes or employs an EUV light source comprising a laser device outputting a laser beam, a beam delivery system directing the laser beam to an irradiation site, and a material for interaction with the laser beam at the irradiation site to create an EUV light emitting plasma for use in processing substrates.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: June 11, 2013
    Assignee: Cymer, Inc.
    Inventors: Alexander I. Ershov, Alexander N. Bykanov, Oleh V. Khodykin, Igor V. Fomenkov
  • Patent number: 8455832
    Abstract: Field balancing may be performed with an irradiation system including a plurality of adjustable radiant-energy emitters. The irradiation system powers the radiant-energy emitters from a power source and radiant energy is emitted from the radiant-energy emitters, where an amount of radiant energy emitted from each emitter is capable of being varied based on power received from the power source. A plurality of radiant-energy sensors detects an amount of radiant energy which includes radiant energy created directly by at least one of the radiant-energy emitters. The amount of radiant energy detected at at least two of the radiant-energy sensors is compared, and at least one of the radiant-energy emitters is adjusted by varying the power received from the power source so that the amount of radiant energy detected at each of the radiant-energy sensors tends towards becoming approximately equal.
    Type: Grant
    Filed: January 14, 2011
    Date of Patent: June 4, 2013
    Assignee: Infection Prevention Technologies
    Inventors: Mark Statham, Eric Engler, Steve Fister, Robert L. Gilling, Thomas A. Kenny, Rory Sayers, Clinton Starrs
  • Patent number: 8455849
    Abstract: A method and apparatus for providing a uniform UV radiation irradiance profile across a surface of a substrate is provided. In one embodiment, a substrate processing tool includes a processing chamber defining a processing region, a substrate support for supporting a substrate within the processing region, an ultraviolet (UV) radiation source spaced apart from the substrate support and configured to transmit ultraviolet radiation toward the substrate positioned on the substrate support, and a light transmissive window positioned between the UV radiation source and the substrate support, the light transmissive window having an optical film layer coated thereon. In one example, the optical film layer has a non-uniform thickness profile in a radial direction, wherein a thickness of the optical film layer at the peripheral area of the light transmissive window is relatively thicker than at the center region of the optical film layer.
    Type: Grant
    Filed: November 21, 2011
    Date of Patent: June 4, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Sanjeev Baluja, Juan Carlos Rocha-Alvarez, Alexandros T. Demos
  • Publication number: 20130105698
    Abstract: A method for locally resolved measurement of a radiation distribution (24) produced using a lithography mask (16) comprises providing a radiation converter (31, 131) having an at least two-dimensional arrangement of converter elements (32, 132) which can respectively be put in an active and a passive state, and are configured to convert incoming radiation in respect of its wavelength in the active state. The method further includes: manipulating the radiation converter (31, 131) several times such that respectively only a fraction of the converter elements (32, 132) adopts the active state, irradiating the radiation converter (31, 131) with the radiation distribution (24) after every manipulation of the radiation converter (31, 131) so that the active converter elements (32, 132) emit wavelength-converted measuring radiation (34), recording respective places of origin (54) of the measuring radiation at every irradiation with the radiation distribution (24).
    Type: Application
    Filed: December 18, 2012
    Publication date: May 2, 2013
    Applicant: CARL ZEISS SMT GMBH
    Inventor: Carl Zeiss SMT GmbH
  • Patent number: 8388167
    Abstract: LED lamp has LEDs aimed rearwards with either a concave mirror to the rear of each LED, or one concave mirror to the rear of two or more LEDs, collecting the light from the LEDs to form a forward projecting beam. LEDs may be high power types that require heatsinking. LED lamp may have a lens forward of each LED to collimate the radiation produced by the LEDs into a beam, where at least one lens has at least one aspheric curved surface. LED lamp may have a transparent reflective optic to collimate the radiation produced by each LED into a beam. For an inspection lamp, the LEDs typically have a peak wavelength of 395 to 415 nanometers for seeing the area being irradiated but not so visible as to overwhelm fluorescence of fluorescent materials to be detected. Other wavelengths may be used.
    Type: Grant
    Filed: May 12, 2011
    Date of Patent: March 5, 2013
    Assignee: Brasscorp Limited
    Inventors: Donald L. Klipstein, Jack Brass
  • Patent number: 8362435
    Abstract: A method and device for detecting, differentiating from background and providing partial identification (i.e., classification) for biological particles found in aerosols or surface dust. The method is based on the phenomenon that luminescent excitation-emission (EEM) graphs of microorganisms obtained before and after perturbation by irradiation with ultraviolet light show characteristic patterns which differ according to the type of particle. For example, Bacillus endospores may be distinguished from vegetative bacteria, and gram positive vegetative bacteria may be distinguished from gram negative bacteria, and all these may be distinguished from many types of background particles, e.g. house dust, road dust, and pollen.
    Type: Grant
    Filed: May 7, 2008
    Date of Patent: January 29, 2013
    Assignee: The United States of America as represented by the Secretary of the Air Force
    Inventor: Burt V. Bronk
  • Patent number: 8362451
    Abstract: A hand carry type portable curing apparatus using a long-arc UltraViolet (UV) lamp for concentrating the external air on the long-arc UV lamp and enhancing cooling efficiency by disposing a fan at a tilt angle and in addition installing a means for forcibly inducing a flow of air inside is provided. The apparatus includes a housing having an accepting part therein, the long-arc UV lamp installed in the accepting part of the housing, and a fan installed in the housing and cooling the long-arc UV lamp. The fan is installed on the slant to tilt toward the front in a front surface of the housing.
    Type: Grant
    Filed: February 21, 2011
    Date of Patent: January 29, 2013
    Assignee: Unilam Co., Ltd.
    Inventors: Joo-Young Yoon, Young-Il Youn, Chang-Hwan Kim, Ho-Keun Yoon
  • Patent number: 8350223
    Abstract: In one embodiment, a quantum dot based radiation source includes a housing having a wall defining a cavity therein, a plurality of quantum dots disposed on an inner surface of the wall of the housing, and a radiation excitation source in optical communication with the housing and configured to output radiation to excite the plurality of quantum dots to emit radiation in a desired wavelength range. The quantum dot based radiation source can be used in a calibration system or calibrator, for example to calibrate a detector.
    Type: Grant
    Filed: July 31, 2009
    Date of Patent: January 8, 2013
    Assignee: Raytheon Company
    Inventors: Nathan M. Mintz, Kalin Spariosu
  • Patent number: 8347819
    Abstract: A litter box for pets is provided having a container defined by a bottom and side walls and a germicidal ultraviolet light lamp positioned parallel to the bottom of the container and around the interior peripheral edge of the container, whereby the light from the lamp has an unobstructed path to the absorbent granular material in the container. A motion detector may be provided to turn the lamp off and on when a pet approaches the litter box.
    Type: Grant
    Filed: July 29, 2010
    Date of Patent: January 8, 2013
    Inventor: Stewart Jeffrey Lovenvirth
  • Patent number: 8309943
    Abstract: An apparatus for producing light includes a chamber and an ignition source that ionizes a gas within the chamber. The apparatus also includes at least one laser that provides energy to the ionized gas within the chamber to produce a high brightness light. The laser can provide a substantially continuous amount of energy to the ionized gas to generate a substantially continuous high brightness light.
    Type: Grant
    Filed: May 3, 2011
    Date of Patent: November 13, 2012
    Assignee: Energetiq Technology, Inc.
    Inventors: Donald K. Smith, William M. Holber, Jeffrey A. Casey
  • Patent number: 8296493
    Abstract: Disclosed is a disinfecting docking station for at least one portable electronic device, such as a medical technician's tablet computer, that has at least one recharging connector. An enclosure is adapted to receive the at least one portable electronic device therein through an openable side that includes a selectively closable door. Each electronic device is exposed to a disinfecting wavelength of light, such as UV light, to disinfect the surface of the device. The enclosure includes supports that minimally contact each device so that the device will be substantially exposed to the UV light. A control circuit monitors the exposure time and level, and is programmable to activate the UV light based on pre-set criteria. The enclosures may be stacked and provide power and network connectivity to each device while docked therein.
    Type: Grant
    Filed: August 3, 2010
    Date of Patent: October 23, 2012
    Assignee: ReadyDock, Inc.
    Inventors: David G. Engelhardt, Bill Saimond
  • Patent number: 8283643
    Abstract: An EUV light source device is described herein which may comprise a laser beam travelling along a beam path, at least a portion of the beam path aligned along a linear axis; a material for interaction with the laser beam at an irradiation site to create an EUV light emitting plasma; a first reflector having a focal point, the first reflector positioned with the focal point on the linear axis, the first reflector receiving laser light along the beam path; and a second reflector receiving laser light reflected by the first reflector and directing the laser light toward the irradiation site.
    Type: Grant
    Filed: November 18, 2009
    Date of Patent: October 9, 2012
    Assignee: Cymer, Inc.
    Inventors: William N. Partlo, Igor V. Fomenkov, Jason Paxton
  • Patent number: 8269179
    Abstract: A lithographic apparatus includes an illumination system configured to condition a radiation beam, a projection system configured to project the radiation beam onto a substrate, and a filter system for filtering debris particles out of the radiation beam. The filter system includes a plurality of foils for trapping the debris particles, a support for holding the plurality of foils, and a cooling system having a surface that is arranged to be cooled. The cooling system and the support are positioned with respect to each other such that a gap is formed between the surface of the cooling system and the support. The cooling system is further arranged to inject gas into the gap.
    Type: Grant
    Filed: December 24, 2008
    Date of Patent: September 18, 2012
    Assignee: ASML Netherlands B.V.
    Inventors: Arnoud Cornelis Wassink, Levinus Pieter Bakker, Johannes Hubertus Josephina Moors, Frank Jeroen Pieter Schuurmans
  • Patent number: 8253123
    Abstract: The present invention relates to a method and device for generating optical radiation, in particular EUV radiation or soft x-rays, by means of an electrically operated discharge. A plasma (15) is ignited in a gaseous medium between at least two electrodes (1, 2), wherein said gaseous medium is produced at least partly from a liquid material (6) which is applied to one or several surface(s) moving in the discharge space and is at least partially evaporated by one or several pulsed energy beams. In the proposed method and device at least two consecutive pulses (9, 18) are applied within a time interval of each electrical discharge onto said surface(s). With this measure, the collectable conversion efficiency is increased compared to the use of only one single energy pulse within each electrical discharge.
    Type: Grant
    Filed: December 9, 2009
    Date of Patent: August 28, 2012
    Assignee: Koninklijke Philips Electronics N.V.
    Inventors: Jeroen Jonkers, Felix A. Kuepper, Harald E. Verbraak, Jakob W. Neff
  • Patent number: 8242474
    Abstract: An extreme ultraviolet light generation apparatus used in combination with a laser system, the apparatus may include: a chamber provided with at least one inlet port for introducing a laser beam outputted from the laser system into the chamber; a target supply unit provided to the chamber for supplying a target material to a predetermined region inside the chamber, where the target material is irradiated with the laser beam; at least one optical element disposed inside the chamber; a magnetic field generation unit for generating a magnetic field around the predetermined region; an ion collection unit disposed in a direction of a line of magnetic force of the magnetic field for collection an ion which is generated when the target material is irradiated with the laser beam and is flowing along the line of magnetic force; and a gas introduction unit for introducing an etching gas into the chamber.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: August 14, 2012
    Assignee: Gigaphoton Inc.
    Inventors: Shinji Nagai, Tamotsu Abe, Takanobu Ishihara, Osamu Wakabayashi
  • Patent number: 8227770
    Abstract: An illumination system is used to illuminate a specified illumination field of an object surface with EUV radiation. The illumination system has an EUV source and a collector to concentrate the EUV radiation in the direction of an optical axis. A first optical element is provided to generate secondary light sources, and a second optical element is provided at the location of these secondary light sources, the second optical element being part of an optical device which includes further optical elements, and which images the first optical element into an image plane into the illumination field. Between the collector and the illumination field, a maximum of five reflecting optical elements are arranged. These optical elements reflect the main beam either grazingly or steeply. The optical axis, projected onto an illumination main plane, is deflected by more than 30° between a source axis portion and a field axis portion.
    Type: Grant
    Filed: August 4, 2009
    Date of Patent: July 24, 2012
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Martin Endres, Jens Ossmann
  • Patent number: 8203126
    Abstract: Embodiments of the invention relate generally to an ultraviolet (UV) cure chamber for curing a dielectric material disposed on a substrate and to methods of curing dielectric materials using UV radiation. A substrate processing tool according to one embodiment comprises a body defining a substrate processing region; a substrate support adapted to support a substrate within the substrate processing region; an ultraviolet radiation lamp spaced apart from the substrate support, the lamp configured to transmit ultraviolet radiation to a substrate positioned on the substrate support; and a motor operatively coupled to rotate at least one of the ultraviolet radiation lamp or substrate support at least 180 degrees relative to each other.
    Type: Grant
    Filed: July 22, 2010
    Date of Patent: June 19, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Juan Carlos Rocha-Alvarez, Thomas Nowak, Dale R. Du Bois, Sanjeev Baluja, Scott A. Hendrickson, Dustin W. Ho, Andrzei Kaszuba, Tom K. Cho
  • Patent number: 8158961
    Abstract: A container (101) for contact lenses is provided which comprises a chamber (105) adapted to store an ophthalmic lens in a fluid medium, a window for viewing a lens disposed in the chamber, and a UV light source adapted to illuminate the lens with UV radiation.
    Type: Grant
    Filed: July 30, 2010
    Date of Patent: April 17, 2012
    Assignee: SciConsult, Inc.
    Inventor: Denise Lynn Merkle
  • Patent number: 8158959
    Abstract: An extreme ultraviolet light source apparatus generating an extreme ultraviolet light from plasma generated by irradiating a target material with a laser light within a chamber, and controlling a flow of ions generated together with the extreme ultraviolet light using a magnetic field or an electric field, the extreme ultraviolet light source apparatus comprises an ion collector device collecting the ion via an aperture arranged at a side of the chamber, and an interrupting mechanism interrupting movement of a sputtered particle in a direction toward the aperture, the sputtered particle generated at an ion collision surface collided with the ion in the ion collector device.
    Type: Grant
    Filed: February 12, 2010
    Date of Patent: April 17, 2012
    Assignee: Gigaphoton Inc.
    Inventors: Takeshi Asayama, Kouji Kakizaki, Akira Endo, Shinji Nagai
  • Patent number: 8101931
    Abstract: An RF screen for microwave powered UV lamp systems is provided. The RF screen is formed of a single sheet of conductive material in which a mesh pattern has been formed. The screen includes a non-traditional mesh pattern including individual openings with 3 or more nodes. The RF screen is generally configured to optimize the balance between light transmission and RF energy leakage desired for the particular application. Generally, it is desired that the RF screen has an open area percentage greater than about 80% while limiting RF energy leakage from the microwave powered lamp system to acceptable levels.
    Type: Grant
    Filed: April 5, 2010
    Date of Patent: January 24, 2012
    Assignee: Miltec Corporation
    Inventor: Joseph Bernard Blandford, III