Inorganic Acid Containing Patents (Class 252/79.2)
  • Patent number: 7923118
    Abstract: A method of fabricating a liquid crystal display array substrate includes forming a gate wiring line having a gate pad electrode, forming a data wiring line having a data pad electrode, forming a protection layer over the gate pad electrode and the data pad electrode, and positioning etching tapes on the protection layer over the gate pad electrode and the data pad electrode.
    Type: Grant
    Filed: January 16, 2009
    Date of Patent: April 12, 2011
    Assignee: LG Display Co., Ltd.
    Inventors: Jae Young Oh, Soo Pool Kim
  • Patent number: 7922926
    Abstract: The invention provides a chemical-mechanical polishing composition consisting essentially of flumed alumina, alpha alumina, silica, a nonionic surfactant, an additive compound selected from the group consisting of glycine, alanine, iminodiacetic acid, and maleic acid, hydrogen peroxide, and water. The invention further provides a method of chemically-mechanically polishing a substrate comprising contacting a substrate with a polishing pad and the chemical-mechanical polishing composition, moving the polishing pad and the polishing composition relative to the substrate, and abrading at least a portion of the substrate to polish the substrate.
    Type: Grant
    Filed: January 8, 2008
    Date of Patent: April 12, 2011
    Assignee: Cabot Microelectronics Corporation
    Inventors: Selvaraj Palanisamy Chinnathambi, Ping-Ha Yeung, Brian Reiss
  • Publication number: 20110079578
    Abstract: A nickel-chromium alloy etching composition comprising sulfuric acid, a source of chloride ions, including hydrochloric acid or sodium, potassium or ammonium chloride, and a sulfur compound comprising a sulfur atom with an oxidation state between ?2 to +5, such as thiosulfate, sulfide, sulfite, bisulfite, metabisulfite and phosphorus pentasulfide that can efficiently remove nickel-chromium alloy in the presence of copper circuits is disclosed.
    Type: Application
    Filed: October 5, 2009
    Publication date: April 7, 2011
    Inventors: Kesheng Feng, Nilesh Kapadia, Steven A. Castaldi, John Ganjei
  • Patent number: 7906038
    Abstract: An aqueous polishing liquid is provided that includes an oxidizing agent, a five-membered monocyclic compound having at least three nitrogen atoms or a compound in which a hetero ring is fused to said compound, and a compound having an imidazole skeleton or an isothiazolin-3-one skeleton. The five-membered monocyclic compound having at least three nitrogen atoms and/or the compound in which a hetero ring is fused to said compound is used at a total concentration of less than 300 mg/L, and the compound having an imidazole skeleton or an isothiazolin-3-one skeleton is used at a concentration of at least 10 mg/L but no greater than 500 mg/L. There is also provided a chemical mechanical polishing method that includes a step of polishing by making a surface to be polished and a polishing surface move relative to each other while being in contact with each other in the presence of the aqueous polishing liquid.
    Type: Grant
    Filed: September 25, 2006
    Date of Patent: March 15, 2011
    Assignee: FUJIFILM Corporation
    Inventor: Tomo Kato
  • Publication number: 20110056914
    Abstract: A material mixture for dissolving a coating system from a work piece comprises an aqueous, alkaline solution containing between 3 and 8 weight percent KMnO4 and at the same time having an alkaline fraction of between 6 and 15 weight percent. The alkaline fraction is formed in one embodiment by KOH or NaOH, wherein the pH of the solution is above 13. A method according to the present invention uses the above-described material mixture for wet-chemical delaminating of hard material coatings of the group: metallic AlCr, TiAlCr and other AlCr alloys; nitrides, carbides, borides, oxides thereof and combinations thereof.
    Type: Application
    Filed: April 9, 2009
    Publication date: March 10, 2011
    Applicant: OERLIKON TRADING AG, TRUBBACH
    Inventors: Tamara Andreoli, Udo Rauch
  • Patent number: 7887714
    Abstract: There is provided an abrasive used for polishing a substrate which comprises silica as a main component, for example a rock crystal, a quartz glass for photomask, for CMP of an organic film, Inter Layer Dielectric (ILD) and shallow trench isolation of a semiconductor device, or for polishing a hard disk made of glass. A sol which particles are dispersed in a medium, wherein the particles have a particle size of 0.005 to 1 ?m and comprise as a main component crystalline cerium oxide of the cubic system and as an additional component a lanthanum compound, a neodymium compound or a combination thereof, wherein the additional component is contained in X/(Ce+X) molar ratio of 0.001 to 0.5 in which X is lanthanum atoms, neodymium atoms or a combination thereof.
    Type: Grant
    Filed: December 17, 2001
    Date of Patent: February 15, 2011
    Assignee: Nissan Chemical Industries, Ltd.
    Inventors: Isao Ota, Kenji Tanimoto, Tohru Nishimura
  • Patent number: 7887715
    Abstract: Disclosed herein are a chemical mechanical polishing slurry composition for chemical mechanical planarization of metal layers, which comprises a non-ionized, heat-activated nano-catalyst, and a polishing method using the same. The polishing slurry composition comprises: a non-ionized, heat-activated nano-catalyst which releases electrons and holes by energy generated in a chemical mechanical polishing process; an abrasive; and an oxidizing agent. The non-ionized heat-activated nano-catalyst and the abrasive are different from each other, and the non-ionized, heat-activated nano-catalyst is preferably a semiconductor material which releases electrons and holes at a temperature of 10 to 100° C. in an aqueous solution state, more preferably a transition metal silicide selected from the group consisting of CrSi, MnSi, CoSi, ferrosilicon (FeSi), mixtures thereof, and most preferably, a semiconductor material such as nano ferrosilicon.
    Type: Grant
    Filed: December 21, 2009
    Date of Patent: February 15, 2011
    Assignee: Dongjin Semichem Co., Ltd.
    Inventors: Jong Dai Park, Jin Hyuk Lim, Jung Min Choi, Hyun Goo Kong, Jae Hyun Kim, Hye Jung Park
  • Patent number: 7879255
    Abstract: Polishing compositions and methods for removing conductive materials from a substrate surface are provided. The method includes providing a substrate comprising dielectric feature definitions, a barrier material disposed in the feature definitions, and a bulk conductive material disposed on the barrier material in an amount sufficient to fill feature definitions; polishing the substrate to substantially remove the bulk conductive material; polishing a residual conductive material to expose feature definitions, comprising: applying a first voltage for a first time period, wherein the first voltage is less than the critical voltage; and applying a second voltage for a second time period, wherein the second voltage is greater than the critical voltage.
    Type: Grant
    Filed: November 3, 2006
    Date of Patent: February 1, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Huyen Karen Tran, Renhe Jia, You Wang, Stan D. Tsai, Martin S. Wohlert, Daxin Mao
  • Patent number: 7875558
    Abstract: The present invention is directed to a microetching composition comprising a source of cupric ions, acid, a nitrile compound, and a source of halide ions. Other additive, including organic solvents, a source of molybdenum ions, amines, polyamines, and acrylamides may also be included in the composition of the invention. The present invention is also directed to a method of microetching copper or copper alloy surfaces to increase the adhesion of the copper surface to a polymeric material, comprising the steps of contacting a copper or copper alloy surface with the composition of the invention, and thereafter bonding the polymeric material to the copper or copper alloy surface.
    Type: Grant
    Filed: August 14, 2007
    Date of Patent: January 25, 2011
    Inventors: Kesheng Feng, Nilesh Kapadia, Steven A. Castaldi
  • Patent number: 7850866
    Abstract: An etchant includes hydrogen peroxide (H2O2), and a mixed solution including at least one of an organic acid, an inorganic acid, and a neutral salt.
    Type: Grant
    Filed: July 13, 2004
    Date of Patent: December 14, 2010
    Assignee: LG Display Co., Ltd.
    Inventors: Gyoo-Chul Jo, Ki-Sung Chae
  • Patent number: 7846349
    Abstract: The present disclosure relates to a solution for selectively removing metal, such as Ta or TaN, from a substrate, such as an aluminum containing substrate. The solution comprises an acid, such as HF or buffered HF, an ingredient comprising a fluorine ion, such as ammonium fluoride (NH4F), ethylene glycol, and water. A method of selectively removing metal from a substrate using this solution is also disclosed.
    Type: Grant
    Filed: December 12, 2005
    Date of Patent: December 7, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Jeremy W. Epton, John Deem
  • Publication number: 20100301265
    Abstract: A polishing slurry comprises a metal-oxidizing agent, a metal anticorrosive agent, an oxidized metal dissolving agent and water. The oxidized metal dissolving agent is at least one kind selected from the group consisting of an acid in which the negative value of the logarithm of the dissociation constant Ka (pKa) of a first dissociable acid group is 3.5 or more, an ammonium salt of the acid and an organic acid ester of the acid. The pH of the polishing slurry is within the range of 3 to 4. The concentration of the metal-oxidizing agent is within the range of 0.01 to 3 percent by weight. In the wiring-formation process of the semiconductor device, the conductor used for the barrier layer can be polished at a high polishing rate by using the polishing slurry having the low polishing particle concentration and the low metal anticorrosive agent concentration.
    Type: Application
    Filed: August 12, 2010
    Publication date: December 2, 2010
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Yasushi KURATA, Yasuo KAMIGATA, Sou ANZAI, Hiroki TERAZAKI
  • Patent number: 7842193
    Abstract: According to an aspect of the invention, there is provided a polishing liquid for polishing a barrier metal material on an interlayer insulation material, the polishing liquid having a pH of from 2.0 to 6.0 and including an aqueous solution containing a compound represented by the following formula (1), and polishing particles containing silicon oxide and dispersed in the aqueous solution: R1—(CH2)m—(CHR2)n—COOH (1) wherein m+n?4; R1 represents a hydrogen atom, a methyl group, an ethyl group or a hydroxyl group; R2 represents a methyl group, an ethyl group, a benzene ring or a hydroxyl group; and when a plurality of R2s are present in the formula (1), they are the same or different from one another.
    Type: Grant
    Filed: September 28, 2006
    Date of Patent: November 30, 2010
    Assignee: FUJIFILM Corporation
    Inventor: Kenji Takenouchi
  • Patent number: 7790618
    Abstract: An aqueous solution is useful for selective removal in the presence of a low-k dielectric. The aqueous solution comprises by weight percent 0 to 25 oxidizer; 0.00002 to 5 multi-component surfactant, the multi-component surfactant having a hydrophobic tail, a nonionic hydrophilic portion and an anionic hydrophilic portion, the hydrophobic tail having 6 to 30 carbon atoms and the nonionic hydrophilic portion having 10 to 300 carbon atoms; 0 to 15 inhibitor for a nonferrous metal; 0 to 50 abrasive; 0 to 20 complexing agent for a nonferrous metal; and water.
    Type: Grant
    Filed: December 22, 2004
    Date of Patent: September 7, 2010
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventor: Jinru Bian
  • Patent number: 7785487
    Abstract: The aqueous slurry is useful for chemical mechanical polishing semiconductor substrates having copper interconnects. The aqueous slurry includes by weight percent, 0.01 to 25 oxidizing agent, 0.1 to 50 abrasive particles, 0.001 to 3 polyvinyl pyrrolidone, 0.01 to 10 inhibitor for decreasing static etch of the copper interconnects, 0.001 to 5 phosphorus-containing compound for increasing removal rate of the copper interconnects, 0.001 to 10 complexing agent formed during polishing and balance water; and the aqueous slurry has a pH of at least 8.
    Type: Grant
    Filed: August 15, 2006
    Date of Patent: August 31, 2010
    Assignee: Rohm and Haas Electronic Materials CMP Holdings, Inc.
    Inventors: Terence M. Thomas, Qianqiu Ye
  • Publication number: 20100216309
    Abstract: Disclosed is a CMP polishing liquid for polishing a substrate having a layer containing ruthenium, comprising: an oxidizing agent; polishing particles; water; and a compound having a structure represented by the following Formula (1), or a salt thereof. This CMP liquid is improved in at least the polishing rate to a ruthenium layer when compared with conventional polishing liquid. Also disclosed is a method for polishing a substrate using such a CMP polishing liquid.
    Type: Application
    Filed: October 21, 2008
    Publication date: August 26, 2010
    Applicant: HITACHI CHEMICAL COMPANY, LTD.
    Inventors: Hisataka Minami, Hiroshi Ono, Jin Amanokura
  • Publication number: 20100216315
    Abstract: The invention provides an etchant composition employed for selectively etching a metallic material in production of a semiconductor device from an insulating material having high dielectric constant, an insulating material of silicon oxide film or silicon nitride film, and a metallic material, characterized in that the etchant composition is an aqueous solution containing a fluorine compound, and a chelating agent having, in the molecular structure thereof, a phosphorus oxo-acid as a functional group; or is an aqueous solution containing a fluorine compound, a chelating agent having, in the molecular structure thereof, a phosphorus oxo-acid as a functional group, and an inorganic acid and/or an organic acid. The invention also provides a method for producing a semiconductor device employing the etchant composition. According to the invention, a metallic material can be etched selectively and efficiently.
    Type: Application
    Filed: June 22, 2006
    Publication date: August 26, 2010
    Inventors: Kazuyoshi Yaguchi, Kojiro Abe, Masaru Ohto
  • Patent number: 7776230
    Abstract: The invention provides a chemical-mechanical polishing system for polishing a substrate comprising (a) a polishing component selected from an abrasive, a polishing pad, or both an abrasive and a polishing pad, (b) an aqueous carrier, and (c) the halogen adduct resulting from the reaction of (1) an oxidizing agent selected from the group consisting of iodine, bromine, and a combination thereof, and (2) a carbon acid having a pKa of about 3 to about 14, wherein the halogen adduct is present in a concentration of about 0.01 mM or more in the aqueous carrier. The invention also provides a method of polishing a substrate comprising (i) providing the aforementioned chemical-mechanical polishing system, (ii) contacting the substrate with the polishing system, and (iii) abrading at least a portion of the surface of the substrate with the polishing system to polish the substrate.
    Type: Grant
    Filed: February 9, 2007
    Date of Patent: August 17, 2010
    Assignee: Cabot Microelectronics Corporation
    Inventors: Steven Grumbine, Francesco De Rege Thesauro
  • Publication number: 20100178768
    Abstract: The present invention provides for a copper CMP slurry composition which comprises a complexing agent, an oxidizer, an abrasive and a passivating agent. The present invention also provides for a method of chemical mechanical planarization of a copper conductive structure which comprises administering the copper CMP slurry composition during the planarization process.
    Type: Application
    Filed: June 13, 2008
    Publication date: July 15, 2010
    Applicant: BASF SE
    Inventor: Yuzhuo Li
  • Patent number: 7754611
    Abstract: A chemical mechanical polishing method is disclosed. The method includes forming a film on a wafer having at least one trench structure thereon; polishing the surface of the film by providing a polishing composition to provide a first polished surface; rinsing the first polished surface with a rinse composition to provide a rinsed surface; and polishing the rinsed surface by providing a second polishing composition to provide a second polished surface.
    Type: Grant
    Filed: February 28, 2006
    Date of Patent: July 13, 2010
    Assignee: Macronix International Co., Ltd.
    Inventors: Chun Fu Chen, Yung Tal Hung, Yun Chi Yang
  • Patent number: 7754098
    Abstract: The invention provides a chemical-mechanical polishing composition comprising: (a) silica particles, (b) about 5×10?3 to about 10 millimoles per kilogram of at least one alkaline earth metal selected from the group consisting of calcium, strontium, barium, and mixtures thereof, based on the total weight of the polishing composition, (c) about 0.1 to about 15 wt. % of an oxidizing agent, and (d) a liquid carrier comprising water. The invention also provides a polishing composition, which optionally comprises an oxidizing agent, comprising about 5×10?3 to about 10 millimoles per kilogram of at least one alkaline earth metal selected from the group consisting of calcium, strontium, and mixtures thereof. The invention further provides methods for polishing a substrate using the aforementioned polishing compositions.
    Type: Grant
    Filed: September 10, 2004
    Date of Patent: July 13, 2010
    Assignee: Cabot Microelectronics Corporation
    Inventors: David J. Schroeder, Kevin J. Moeggenborg
  • Publication number: 20100163787
    Abstract: A polishing composition of the invention is a polishing composition which is suitable for polishing a metal film, which is so-called final polishing, and contains colloidal silica having an average particle size of 20 nm or more and less than 80 nm which is determined by particle size distribution measurement using a light scattering method as abrasive grains; and at least one selected from iodic acid and its salt as an oxidizing agent, with the balance of water. By containing such components, the polishing composition shows non-selectivity, while being sufficiently suppressed in dishing and erosion.
    Type: Application
    Filed: June 9, 2008
    Publication date: July 1, 2010
    Inventors: Rika Tanaka, Haruki Nojo, Yoshiharu Ota
  • Publication number: 20100147803
    Abstract: A method for removing a metallic material from the surface of a casted substrate includes the step of contacting the metallic material with an aqueous composition which comprises an acid having the formula HxAF6, or precursors to said acid. “A” in the formula is selected from the group consisting of Si, Ge, Ti, Zr, Al, and Ga; and x is 1-6.
    Type: Application
    Filed: December 15, 2008
    Publication date: June 17, 2010
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Lawrence Bernard Kool, Michael Francis Xavier Gigliotti, Shyh-Chin Huang, Gabriel Kwadwo Ofori-Okai
  • Patent number: 7736530
    Abstract: Disclosed is a CMP slurry in which a compound having a weight-average molecular weight of 30-500 and containing a hydroxyl group (OH), a carboxyl group (COOH), or both, is added to a CMP slurry comprising abrasive particles and water and having a first viscosity, so that the CMP slurry is controlled to have a second viscosity 5-30% lower than the first viscosity. Also disclosed is a method for polishing a semiconductor wafer using the CMP slurry. According to the disclosed invention, the agglomerated particle size of abrasive particles in the CMP slurry can be reduced, while the viscosity of the CMP slurry can be reduced and the global planarity of wafers upon polishing can be improved. Thus, the CMP slurry can be advantageously used in processes for manufacturing semiconductor devices requiring fine patterns and can improve the reliability and production of semiconductor devices through the use thereof in semiconductor processes.
    Type: Grant
    Filed: January 24, 2007
    Date of Patent: June 15, 2010
    Assignee: LG Chem, Ltd.
    Inventors: Seung Beom Cho, Jong Pil Kim, Jun Seok Nho, Myoung Hwan Oh, Jang Yul Kim
  • Patent number: 7731864
    Abstract: Described herein are embodiments of a slurry used for the chemical mechanical polishing a substrate that includes aluminum or an aluminum alloy features having a width of less than 1 um. The slurry includes a precipitated silica abrasive having a diameter of less than or equal to 100 nm and a chelating buffer system comprising citric acid and oxalic acid to provide a pH of the slurry in the approximate range of 1.5 and 4.0.
    Type: Grant
    Filed: June 29, 2005
    Date of Patent: June 8, 2010
    Assignee: Intel Corporation
    Inventors: Allen Daniel Feller, Anne E. Miller
  • Patent number: 7727415
    Abstract: A fine treatment agent according to the present invention is a fine treatment agent for the fine treatment of a multilayer film, including a tungsten film and a silicon oxide film comprising at least one from among hydrogen fluoride, nitric acid, ammonium fluoride and ammonium chloride. Thus, a fine treatment agent which makes fine treatment on a multilayer film, including a tungsten film and a silicon oxide film, possible by controlling the etching rate and a fine treatment method using the same can be provided.
    Type: Grant
    Filed: December 19, 2005
    Date of Patent: June 1, 2010
    Assignee: Stella Chemifa Corporation
    Inventors: Hirohisa Kikuyama, Masahide Waki, Kanenori Ito, Takanobu Kujime, Keiichi Nii, Rui Hasebe, Hitoshi Tsurumaru, Hideki Nakashima
  • Publication number: 20100126762
    Abstract: A method for manufacturing a printed circuit board enables a metal residue between wirings to be removed inexpensively without side etching of a copper layer while having sufficient insulation reliability for micro wiring working. The method includes forming a base metal layer directly at least on one face of an insulator film without an adhesive, and a copper coat layer formed on the base metal layer to form adhesiveless copper clad laminates, then forming a pattern on the adhesiveless copper clad laminates by an etching method. The etching method includes a process of etching treatment for the adhesiveless copper clad laminates with an iron (III) chloride solution or a copper (II) chloride solution containing hydrochloric acid and then, a process of treatment with an acid oxidant containing potassium permanganate.
    Type: Application
    Filed: June 23, 2008
    Publication date: May 27, 2010
    Applicant: Sumitomo Metal Mining Co., Ltd.
    Inventors: Harumi Nagao, Yoshiyuki Asakawa
  • Patent number: 7718084
    Abstract: A method for removing a plurality of dielectric films from a supporting substrate by providing a substrate with a dielectric layer overlying another dielectric layer, contacting the substrate at a first temperature with an acid solution exhibiting a positive etch selectivity at the first temperature, and then contacting the substrate at a second temperature with an acid solution exhibiting a positive etch selectivity at the second temperature. The dielectric layers exhibit different etch rates when etched at the first and second temperatures. The first and second acid solutions may contain phosphoric acid. The first dielectric layer may be silicon nitride and the second dielectric layer may be silicon oxide. Under these conditions, the first temperature may be about 175° C. and the second temperature may be about 155° C.
    Type: Grant
    Filed: May 3, 2004
    Date of Patent: May 18, 2010
    Assignee: Micron Technology, Inc.
    Inventors: Li Li, Don L. Yates
  • Publication number: 20100120248
    Abstract: An etching solution contains water, nitric acid, hydrofluoric acid, and sulphuric acid. More specifically it contains 15 to 40% by weight of nitric acid, 10 to 41% by weight of sulphuric acid and 0.8 to 2.0% by weight of hydrofluoric acid. The etching solution is used for etching silicon and to etching methods for silicon wafers.
    Type: Application
    Filed: January 22, 2008
    Publication date: May 13, 2010
    Applicant: GP SOLAR GMBH
    Inventors: Peter Fath, Ihor Melnyk
  • Publication number: 20100120250
    Abstract: The present invention relates to a metal polishing slurry containing abrasive grains, a metal-oxide-dissolving agent, and water, wherein the abrasive grains contain two or more abrasive grain species different from each other in average secondary particle diameter. Using the metal polishing slurry of the present invention, a metal polishing slurry can be obtained which gives a large polishing rate of an interlayer dielectric layer, and is high in the flatness of the polished surface. This metal polishing slurry can provide suitable method for a semiconductor device which is excellent in being made finer and thinner and in dimension precision and in electric characteristics, is high in reliability, and can attain a decrease in costs.
    Type: Application
    Filed: February 22, 2008
    Publication date: May 13, 2010
    Applicant: HITACHI CHEMICAL CO., LTD.
    Inventors: Jin Amanokura, Takafumi Sakurada, Sou Anzai, Takashi Shinoda, Shigeru Nobe
  • Publication number: 20100096584
    Abstract: A polishing composition used for chemical mechanical planarization of a substrate containing a noble metal layer is provided. The polishing composition contains positively-charged abrasive particles such as alpha-Al2O3 particles, theta-Al2O3 particles, delta-Al2O3 particles, gamma-Al2O3 particles, fumed Al2O3 particles, aluminum-modified SiO2 particles, organosilane-modified SiO2 particles, CeO2 particles, TiO2 particles, and ZrO2 particles, an inorganic salt such as KCl, RbCl, CsCl, MgCl2, CaCl2, SrCl2, BaCl2, and NH4Cl, an oxidizing agent such as H2O2, an inorganic acid such as HCl, and water.
    Type: Application
    Filed: October 22, 2008
    Publication date: April 22, 2010
    Applicant: FUJIMI CORPORATION
    Inventor: Fusayo SAEKI
  • Publication number: 20100092366
    Abstract: A water-based polishing slurry for polishing a silicon carbide single crystal, wherein the slurry comprises abrasive particles having a mean particle size of 1 to 400 nm and an inorganic acid, and the slurry has a pH of less than 2 at 20° C.
    Type: Application
    Filed: December 17, 2007
    Publication date: April 15, 2010
    Applicant: SHOWA DENKO K.K.
    Inventors: Hisao Kogoi, Naoki Oyanagi, Yasuyuki Sakaguchi
  • Publication number: 20100089872
    Abstract: The object is to provide an etching liquid for a conductive polymer having excellent etching capability toward a conductive polymer, and a method for patterning a conductive polymer employing the etching liquid for a conductive polymer. The conductive etching liquid of the present invention is selected from the group consisting of (1) an etching liquid comprising greater than 0.5 wt % but no greater than 70 wt % of (NH4)2Ce(NO3)8 or at least 0.5 wt % but no greater than 30 wt % of Ce(SO4)2, (2) an etching liquid comprising greater than 0.5 wt % but no greater than 30 wt % of (NH4)4Ce(SO4)4, (3) an etching liquid comprising a hypochlorous acid salt aqueous solution having an effective chlorine concentration of at least 0.06 wt % and a pH of greater than 3 but less than 8, (4) an etching liquid comprising nitrosyl chloride which comprises at least 5 wt % of hydrochloric acid and at least 20 wt % of nitric acid, a (hydrochloric acid concentration+0.
    Type: Application
    Filed: September 13, 2007
    Publication date: April 15, 2010
    Applicants: Tsurumi Soda Co., Ltd., Toagosei Co., Ltd.
    Inventors: Takashi Ihara, Takahiro Fujimoto
  • Patent number: 7682432
    Abstract: An adhesion promotion process and composition for enhancing adhesion between a copper conducting layer and a dielectric material during manufacture of a printed circuit board. The composition contains a corrosion inhibitor, an inorganic acid, and an alcohol which is effective to increase copper-loading in the composition.
    Type: Grant
    Filed: June 7, 2007
    Date of Patent: March 23, 2010
    Assignee: Enthone Inc.
    Inventors: Abayomi I. Owei, Hiep X. Nguyen, Eric Yakobson
  • Publication number: 20100068890
    Abstract: The present invention relates to novel printable etching media having improved properties for use in the process for the production of solar cells. These are corresponding particle-containing compositions by means of which extremely fine lines and structures can be etched very selectively without damaging or attacking adjacent areas.
    Type: Application
    Filed: October 5, 2007
    Publication date: March 18, 2010
    Applicant: MERCK PATENT GESELLSCHAFT
    Inventors: Werner Stockum, Armin Kuebelbeck
  • Publication number: 20100032613
    Abstract: An etchant composition, and methods of patterning a conductive layer and manufacturing a flat panel display device using the same are provided. The etchant composition may include phosphoric acid, nitric acid, acetic acid, water and an additive, wherein the additive includes a chlorine-based compound, a nitrate-based compound, and an oxidation regulator. In addition, the flat panel display device may be manufactured by patterning a gate electrode, source/drain electrodes and a pixel electrode using the same etchant composition. The gate electrode, source/drain electrodes and the pixel electrode may be formed of different conductive materials. Accordingly, processes are simplified so that manufacturing costs may be reduced and productivity may be improved.
    Type: Application
    Filed: August 4, 2009
    Publication date: February 11, 2010
    Inventors: Kyoung Mook Lee, Kye Chan Song
  • Publication number: 20100019292
    Abstract: A transistor having a metal nitride layer pattern, etchant and methods of forming the same is provided. A gate insulating layer and/or a metal nitride layer may be formed on a semiconductor substrate. A mask layer may be formed on the metal nitride layer. Using the mask layer as an etching mask, an etching process may be performed on the metal nitride layer, forming the metal nitride layer pattern. An etchant, which may have an oxidizing agent, a chelate agent and/or a pH adjusting mixture, may perform the etching. The methods may reduce etching damage to a gate insulating layer under the metal nitride layer pattern during the formation of a transistor.
    Type: Application
    Filed: August 31, 2009
    Publication date: January 28, 2010
    Inventors: Sang-Yong Kim, Ji-Hoon Cha, Woo-Gwan Shim, Chang-Ki Hong, Sang-Jun Choi
  • Publication number: 20100006799
    Abstract: A method of stripping nickel from a printed wiring board comprises providing a printed wiring board with a nickel deposit on a surface and contacting the nickel deposit with phosphate ions and an oxidizer. An aqueous solution comprises ammonium ions, phosphate ions and an oxidizing agent present in amounts effective to strip nickel. An aqueous solution comprises about 1% to about 10% by weight hydrogen peroxide and about 5% to about 30% by weight of an ammonium phosphate. A method of pre-treating a copper substrate comprises providing a printed wiring board having a copper substrate and contacting the copper substrate with phosphate ions, and an oxidizer. A method of neutralizing permanganate on a printed wiring board comprises providing a printed wiring board with a permanganate residue on the printed wiring board and contacting the permanganate residue with phosphate ions, and an oxidizer.
    Type: Application
    Filed: September 22, 2009
    Publication date: January 14, 2010
    Applicant: OMG ELECTRONIC CHEMICALS, INC.
    Inventors: Roger F. Bernards, Joseph S. Bowers
  • Patent number: 7645393
    Abstract: A process is described for treating metal surfaces with roughening compositions that use poly(ethyleneamino propionitrile) polymer as an additive in the composition to improve adhesion of polymeric materials to the metal surfaces and to improve peel strength for thermal stability. The polymer of the invention may be added to compositions containing for example, cupric chloride and hydrochloric acid and is also usable in compositions containing an oxidizer/acid/azole mixture. Other additives, such as adiponitrile may also be beneficially added to compositions of the invention.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: January 12, 2010
    Inventors: Kesheng Feng, Ming De Wang, Steven A. Castaldi
  • Publication number: 20090325383
    Abstract: A chemical mechanical polishing aqueous dispersion according to the invention includes (A) 0.1 to 4 mass % of colloidal silica having an average particle diameter of 10 to 100 nm, and (B) 0.1 to 3 mass % of at least one ammonium salt selected from ammonium phosphate, diammonium phosphate, and ammonium hydrogen sulfate, the chemical mechanical polishing aqueous dispersion having a mass ratio (A)/(B) of the component (A) to the component (B) of 1 to 3 and a pH of 4 to 5 and being able to simultaneously polish at least two films that form a polishing target surface and are selected from a polysilicon film, a silicon nitride film, and a silicon oxide film.
    Type: Application
    Filed: February 20, 2008
    Publication date: December 31, 2009
    Applicant: JSR Corporation
    Inventors: Michiaki Andou, Tomohisa Konno
  • Publication number: 20090311628
    Abstract: A method for etching an ultra thin film is provided which includes providing a substrate having the ultra thin film formed thereon, patterning a photosensitive layer formed over the ultra thin film, etching the ultra thin film using the patterned photosensitive layer, and removing the patterned photosensitive layer. The etching process includes utilizing an etch material with a diffusion resistant carrier such that the etch material is prevented from diffusing to a region underneath the photosensitive layer and removing portions of the ultra thin film underneath the photosensitive layer.
    Type: Application
    Filed: June 11, 2008
    Publication date: December 17, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: George Liu, Kuei Shun Chen, Vencent Chang, Chih-Yang Yeh
  • Patent number: 7629266
    Abstract: The invention includes an etchant composition containing isopropyl alcohol and one or more of HF, NH4F and tetramethyl ammonium fluoride (TMAF). The invention encompasses a method of processing a substrate. A substrate is provided which has a first material containing at least one of polysilicon, monocrystalline silicon and amorphous silicon, and a second material. The substrate is exposed to an etch composition which comprises isopropyl alcohol and at least one of HF, NH4F and TMAF. The invention includes a method of processing a semiconductor construction including providing a construction which has a capacitor electrode material and an oxide material along at least a portion of the capacitor electrode material. At least some of the oxide material is removed by isotropic etching utilizing an etchant composition comprising isopropyl alcohol.
    Type: Grant
    Filed: March 1, 2007
    Date of Patent: December 8, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Janos Fucsko, Grady S. Waldo, Joseph Wiggins, Prashant Raghu
  • Publication number: 20090289032
    Abstract: A method includes contacting a treatment composition including a permanganic acid to a surface of a first substrate to form a treated substrate surface, wherein the first substrate comprises a polyarylenesulfide. The method further includes adhesively bonding the treated substrate surface to a second substrate surface.
    Type: Application
    Filed: May 23, 2008
    Publication date: November 26, 2009
    Applicant: GENERAL ELECTRIC COMPANY
    Inventors: Kevin Warner Flanagan, Wendy Wen-Ling Lin
  • Publication number: 20090275208
    Abstract: Some embodiments include methods of removing silicon dioxide in which the silicon dioxide is exposed to a mixture that includes activated hydrogen and at least one primary, secondary, tertiary or quaternary ammonium halide. The mixture may also include one or more of thallium, BX3 and PQ3, where X and Q are halides. Some embodiments include methods of selectively etching undoped silicon dioxide relative to doped silicon dioxide, in which thallium is incorporated into the doped silicon dioxide prior to the etching. Some embodiments include compositions of matter containing silicon dioxide doped with thallium to a concentration of from about 1 weight % to about 10 weight %.
    Type: Application
    Filed: May 2, 2008
    Publication date: November 5, 2009
    Inventor: Nishant Sinha
  • Publication number: 20090256109
    Abstract: The invention relates to a composition which comprises 1 to 80% by weight of at least one acid which has a pKa of 2 or less; a protic solvent; a complexing agent for Ca2+ ions; for use as conditioning agent for etching enamel lesions.
    Type: Application
    Filed: April 10, 2009
    Publication date: October 15, 2009
    Applicants: Ernst Muhlbauer GmbH & Co. KG, Charite Universitatsmedizin Berlin
    Inventors: Stephan Neffgen, Swen Neander, Dierk Lubbers
  • Patent number: 7601273
    Abstract: A polishing slurry composition including an abrasive, a pH-adjusting agent, a water-soluble thickening agent, and a chelating agent, wherein the chelating agent includes at least one of an acetate chelating agent and a phosphate chelating agent, and a method of using the same.
    Type: Grant
    Filed: March 6, 2006
    Date of Patent: October 13, 2009
    Assignees: Cheil Industries, Inc., MEMC Korea Co., Ltd.
    Inventors: Hyun Soo Roh, Tae Won Park, Tae Young Lee, In Kyung Lee, Chin Ho Lee, Young Woo Kim, Moon Ro Choi, Jong Seop Kim
  • Publication number: 20090224200
    Abstract: The aqueous slurries according to the present invention include soluble salts of molybdenum dissolved in an oxidizing agent and deionized water. Other aqueous polishing slurries include dissolved and undissolved nanoparticles of MoO3 in a solution of deionized water and an oxidizing agent.
    Type: Application
    Filed: May 20, 2009
    Publication date: September 10, 2009
    Applicant: Climax Engineered Materials, LLC
    Inventors: Sunil Chandra Jha, Sreehari Nimmala, Sharath Hedge, Youngki Hong, Suryadevara Vijayakumar Babu, Udaya B. Patri
  • Publication number: 20090221152
    Abstract: Etching solution for etching a layer system that has at least one layer of aluminum, at least one layer of copper and at least one third layer, selected from nickel vanadium, nickel and alloys thereof, which is arranged between the at least one aluminum layer and the at least one copper layer, wherein the solution contains phosphoric acid, nitric acid, deionized water and at least one salt that can release halogen ions, or comprises these components. The claimed etching solution is the basis for a one-step structuring method of a UBM layer system which is used in the production of components that are produced by semiconductor technology methods.
    Type: Application
    Filed: February 16, 2007
    Publication date: September 3, 2009
    Inventors: Frank Dietz, Klaus Kohlmann-Von Platen, Hans-Joachim Quenzer
  • Publication number: 20090194504
    Abstract: The present invention provides a method for producing an abrasive composition, which can control dishing, a method for polishing a substrate using the abrasive composition, and a method for producing a substrate. In the method for producing an abrasive composition, two kinds of preliminary compositions (A) and (B) having different compositions are mixed in different mixing ratios to produce plural kinds of abrasive compositions, wherein a composition containing (a) an abrasive grain, (b) an oxidizing agent, (c) one or more acids selected from the group consisting of amino acids, organic acids and inorganic acids, and (d) a surfactant is used as the preliminary composition (A); and a composition containing (a) an abrasive grain and (b) an oxidizing agent is used as the preliminary composition (B). The preliminary composition (B) may contain the foregoing acid (c) and surfactant (d).
    Type: Application
    Filed: May 14, 2007
    Publication date: August 6, 2009
    Applicant: SHOWA DENKO K.K.
    Inventors: Takashi Sato, Hiroshi Takahashi, Yoshitomo Shimazu, Yuji Ito
  • Publication number: 20090184092
    Abstract: Disclosed is an iodine-based etching solution for etching a material wherein palladium and gold coexist. This etching solution contains at least one additive selected from the group consisting of nitrogen-containing five-membered ring compounds, alcohol compounds, amide compounds, ketone compounds, thiocyanic acid compounds, amine compounds and imide compounds. The etching rate ratio between palladium and gold (etching rate of palladium/etching rate of gold) is not less than 1.
    Type: Application
    Filed: October 27, 2006
    Publication date: July 23, 2009
    Applicant: Kanto Kagaku Kabuashiki Kaisha
    Inventor: Hideki Takahashi