Alkali Metal Hydroxide Containing Patents (Class 252/79.5)
  • Patent number: 8585920
    Abstract: A polishing composition, comprising a compound having structure I or salts thereof: wherein R1 is selected from the group consisting of —O?Mx+ wherein x is selected from the group consisting of 1, 2, and 3, —O—R3 wherein R3 is selected from the group consisting of alkyl, allyl, and phenyl, —N(R3R4) wherein R4 is selected from the group consisting of —H, alkyl, allyl, and phenyl, and —S—R3, and wherein R2 is selected from the group consisting of —CH2—CO2—CH3, —CO—NH—R5, —CH2—CH(OH)—CH2—OH, —CH2—CH(OH)—CH2—R3, and —CH2-substituted phenyl, wherein R5 is selected from the group consisting of alkyl and substituted phenyl.
    Type: Grant
    Filed: November 15, 2011
    Date of Patent: November 19, 2013
    Inventor: John L. Lombardi
  • Publication number: 20130280916
    Abstract: An etching agent for a semiconductor substrate, which is capable of etching a titanium (Ti)-based metal film on a semiconductor substrate and an etching method using the etching agent, and relates to a liquid for preparing the etching agent for a semiconductor substrate composed of a solution comprising (A) hydrogen peroxide, (B) a phosphonic acid chelating agent having a hydroxyl group, (C) a basic compound, and (D-1) a copper anticorrosive. An etching method for etching a titanium (Ti)-based metal film on a semiconductor substrate using the etching agent. A solution comprising (B) a phosphonic acid chelating agent having a hydroxyl group, (C) a basic compound, and (D-1) a copper anticorrosive.
    Type: Application
    Filed: March 18, 2013
    Publication date: October 24, 2013
    Inventor: Wako Pure Chemical Industries, Ltd.
  • Publication number: 20130277602
    Abstract: A composition of an etching agent for aluminum or aluminum alloy and a treatment method thereof are provided. The etching agent does not contain a component not suitable for a wastewater treatment, such as boron and fluorine, and has stable etching performance even when performing an etching treatment continuously. The etching agent has excellent aging resistance providing good etching uniformity and corrosion resistance after etching. This etching agent is an etching agent for aluminum or aluminum alloy, including 50 parts by mass of an aminocarboxylic acid, 5 to 300 parts by mass of at least one selected from a hydroxycarboxylic acid, a dicarboxylic acid, a polycarboxylic acid, and salts thereof, and 10 to 800 parts by mass of at least one selected from a hydroxide, a carbonate, and a bicarbonate of an alkali metal, wherein an aqueous solution of the etching agent has a pH of 8 to 10.
    Type: Application
    Filed: June 24, 2013
    Publication date: October 24, 2013
    Inventors: Mori Kazuhiko, Hideki Takakuwa, Takayuki Yorozu
  • Patent number: 8562855
    Abstract: In etching processing of silicon, in particular anisotropic etching processing of silicon in a manufacturing step of MEMS parts, an etching liquid having a long life of etching liquid and an etching method are provided by suppressing a lowering of an etching rate at the time of warming which is characteristic of a hydroxylamine-containing etching liquid. A silicon etching liquid which is an alkaline aqueous solution containing an alkali metal hydroxide, hydroxylamine and an inorganic carbonate compound and having a pH of 12 or more and which is able to anisotropically dissolve monocrystalline silicon therein, and an etching method of silicon using this etching liquid are provided.
    Type: Grant
    Filed: April 24, 2009
    Date of Patent: October 22, 2013
    Assignee: Mitsubishi Gas Chemical Company, Inc.
    Inventors: Kazuyoshi Yaguchi, Ryuji Sotoaka
  • Publication number: 20130260650
    Abstract: Provided is a polishing composition containing abrasive grains, at least one type of alcohol compound selected from the group consisting of aliphatic alcohols with 2 to 6 carbon atoms and glycol ethers with 3 to 10 carbon atoms, at least one type of basic compound selected from the group consisting of quaternary ammonium salts and alkali metal salts, and water. The average primary particle diameter of the abrasive grains is 5 to 50 nm. The content of the alcohol compound in the polishing composition is 0.01 to 1% by mass. The polishing composition is mainly used in an application of polishing a semiconductor substrate surface.
    Type: Application
    Filed: November 7, 2011
    Publication date: October 3, 2013
    Inventors: Toshio Shinoda, Kayoko Nagahara, Yutaka Inoue, Shuhei Takahashi, Toshihiro Miwa
  • Patent number: 8540894
    Abstract: A polishing composition that can improve polishing property without foaming is provided. A polishing composition includes a pH regulator, a water-soluble polymer compound, and a compound containing an alkylene diamine structure having two nitrogens represented by the following general formula (1), and having at least one block type polyether bonded to the two nitrogens of the alkylene structure, the block type polyether having a bond of an oxyethylene group and an oxypropylene group: where R represents an alkylene group represented by CnH2n, in which n is an integer of 1 or more.
    Type: Grant
    Filed: September 29, 2008
    Date of Patent: September 24, 2013
    Assignee: Nitta Haas Incorporated
    Inventors: Takayuki Matsushita, Masashi Teramoto, Haruki Nojo
  • Patent number: 8518281
    Abstract: A composition for providing acid resistance to copper surfaces in the production of multilayered printed circuit boards. The composition comprises an acid, an oxidizer, a five-membered heterocyclic compound and a thiophosphate or a phosphorous sulfide compound. In a preferred embodiment, the phosphorous compound is phosphorus pentasulfide. The composition is applied to a copper or copper alloy substrate and the copper substrate is thereafter bonded to a polymeric material.
    Type: Grant
    Filed: June 3, 2008
    Date of Patent: August 27, 2013
    Inventors: Kesheng Feng, Ming De Wang, Colleen Mckirryher, Steven A. Castaldi
  • Publication number: 20130207031
    Abstract: Provided is a composition for etching polymeric materials comprising an aqueous solution including an alkali metal salt and glycine.
    Type: Application
    Filed: October 18, 2011
    Publication date: August 15, 2013
    Applicant: 3M INNOVATIVE PROPERTIES COMPANY
    Inventor: Ravi Palaniswamy
  • Publication number: 20130186850
    Abstract: A slurry for chemical mechanical of a cobalt layer or a conductive layer over a cobalt layer includes abrasive particles, an organic complexing compound for Cu or Co ion complexion, a Co corrosion inhibitor that is 0.01-1.0 wt % of the slurry, an oxidizer, and a solvent. The slurry has a pH of 7-12.
    Type: Application
    Filed: January 24, 2012
    Publication date: July 25, 2013
    Inventors: You Wang, Chenhao Ge, Yufei Chen, Yuchun Wang, Wen-Chiang Tu, Lakshmanan Karuppiah
  • Patent number: 8491809
    Abstract: A process for producing an aluminum wheel includes a cleaning step, in which the surface of the aluminum wheel is chemically etched with an alkali cleaning liquid which contains an alkali builder, an organic builder, and a chelating agent to such an extent that the Si atomic ratio of metal Si to oxide Si is from 0.01 to 9, and a shot blast treatment step can be omitted for cleaning the surface of the aluminum wheel.
    Type: Grant
    Filed: August 19, 2009
    Date of Patent: July 23, 2013
    Assignees: Central Motor Wheel Co., Ltd., Nihon Parkerizing Co., Ltd.
    Inventors: Takeshi Yamada, Yoshitomo Fujii, Hiroyuki Sato, Soichi Nomoto
  • Patent number: 8470195
    Abstract: A chemical mechanical polishing aqueous dispersion preparation set including: a first composition which includes colloidal silica having an average primary particle diameter of 15 to 40 nm and a basic compound and has a pH of 8.0 to 11.0; and a second composition which includes poly(meth)acrylic acid and an organic acid having two or more carbonyl groups other than the poly(meth)acrylic acid and has a pH of 1.0 to 5.0.
    Type: Grant
    Filed: May 27, 2008
    Date of Patent: June 25, 2013
    Assignee: JSR Corporation
    Inventors: Eiichirou Kunitani, Hirotaka Shida, Kazuhito Uchikura
  • Publication number: 20130143403
    Abstract: Disclosed herein is an etching composition for texturing a crystalline silicon wafer, comprising, based on a total amount of the composition: (A) 0.1 to 20 wt % of an alkaline compound; (B) 0.1 to 50 wt % of a cyclic compound having a boiling point of 100° C. or more; (C) 0.00001 to 10 wt % of a silica-containing compound; and (D) residual water. The etching composition can maximize the absorbance of light of the surface of a crystalline silicon wafer.
    Type: Application
    Filed: August 12, 2011
    Publication date: June 6, 2013
    Applicant: DONGWOO FINE-CHEM CO., LTD.
    Inventors: Hyung-Pyo Hong, Jae-Youn Lee, Dae-Sung Lim
  • Publication number: 20130137278
    Abstract: Disclosed herein is an etching composition for texturing a crystalline silicon wafer, comprising, based on a total amount of the composition: (A) 0.1 to 20 wt % of an alkaline compound; (B) 0.1 to 50 wt % of a cyclic compound having a boiling point of 100° C. or more; (C) 0.000001 to 10 wt % of a fluorine-based surfactant; and (D) residual water. The etching composition can maximize the absorbance of light of the surface of a crystalline silicon wafer.
    Type: Application
    Filed: August 12, 2011
    Publication date: May 30, 2013
    Applicant: DONGWOO FINE-CHEM CO., LTD.
    Inventors: Hyung-Pyo Hong, Jae-Youn Lee, Dae-Sung Lim
  • Publication number: 20130130596
    Abstract: In polishing of synthetic quartz glass substrates, a polishing slurry is used comprising (i) an oligopeptide comprising recurring units of pentapeptide: -[valine-proline-glycine-valine-glycine]- and having a molecular weight of 800-150,000 or a copolymer of the pentapeptide with another monomer, and (ii) a colloidal solution.
    Type: Application
    Filed: November 14, 2012
    Publication date: May 23, 2013
    Applicant: SHIN-ETSU CHEMICAL CO., LTD.
    Inventor: SHIN-ETSU CHEMICAL CO., LTD.
  • Publication number: 20130067998
    Abstract: A method of forming a colloidal dispersion includes providing a first continuous material flow, providing a second continuous material flow, combining the first and second continuous material flows, and moving a continuous flow of a colloidal dispersion in a direction downstream of the first and second continuous flows. The first continuous material flow includes one or more of a diluent (e.g., deionized water), a base, and an acid, and the second continuous material flow includes an abrasive particle solution. The first and second material flows are combined with a Reynolds number greater than about 4400 and less than about 25000 (e.g., about 7400 to about 25000). The colloidal dispersion includes the diluent, the base, the acid, and abrasive particles from the abrasive particle solution.
    Type: Application
    Filed: September 14, 2012
    Publication date: March 21, 2013
    Applicant: Planar Solutions, LLC
    Inventors: Saeed H. Mohseni, Elizabeth K. Gramm, Deepak Mahulikar
  • Publication number: 20130032572
    Abstract: The present invention relates to slurry for polishing crystalline phase-change materials and to a method for producing a phase-change device using the same. The slurry for polishing crystalline phase-change materials according to one embodiment of the present invention comprises an abrasive, an alkaline abrasive enhancer, an oxidizing agent having a standard reduction potential higher than that of perchlorates, and ultrapure water. In addition, the method for producing a phase-change device according to one embodiment of the present invention comprises the following steps: preparing a substrate; forming a crystalline phase-change material film on the substrate; and removing the phase-change material film through a chemical-mechanical polishing process using slurry for polishing phase-change materials, which comprises an abrasive, an alkaline abrasive enhancer, an oxidizing agent having a standard reduction potential higher than that of perchlorates, and ultrapure water.
    Type: Application
    Filed: February 1, 2011
    Publication date: February 7, 2013
    Applicant: IUCF-HYU
    Inventors: Jea Gun Park, Un Gyu Paik, Jin Hyung Park, Hao Cui, Jong Young Cho, Hee Sub Hwang, Jae Hyung Lim, Ye Hwan Kim
  • Publication number: 20130005149
    Abstract: A chemical-mechanical polishing composition comprising: (a) at least one type of abrasive particles; (b) at least two oxidizing agents; (c) at least one pH adjusting agent; and (d) deionized water; (e) optionally comprising at least one antioxidant, and a method for the chemical-mechanical planarization of a substrate containing at least one copper layer, at least one ruthenium layer, and at least one tantalum layer comprising the steps of (1) providing the said chemical-mechanical polishing composition; (2) contacting the substrate surface to be polished with the chemical-mechanical polishing composition and a polishing pad; and (3) chemically and mechanically polishing the substrate surface by way of moving the polishing pad relative to the substrate.
    Type: Application
    Filed: January 19, 2011
    Publication date: January 3, 2013
    Applicant: BASF SE
    Inventors: Yuzhuo Li, Ke Wang
  • Publication number: 20120329200
    Abstract: A method of texturing a surface of a crystalline silicon substrate is provided. The method includes immersing a crystalline silicon substrate into an aqueous alkaline etchant solution to form a pyramid shaped textured surface, with (111) faces exposed, on the crystalline silicon substrate. The aqueous alkaline etchant solution employed in the method of the present disclosure includes an alkaline component and a nanoparticle slurry component. Specifically, the aqueous alkaline etchant solution of the present disclosure includes 0.5 weight percent to 5 weight percent of an alkaline component and from 0.1 weight percent to 5 weight percent of a nanoparticle slurry on a dry basis.
    Type: Application
    Filed: June 21, 2011
    Publication date: December 27, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Mahadevaiyer Krishnan, Jun Liu, Satyavolu S. Papa Rao, George G. Totir
  • Publication number: 20120312784
    Abstract: Provided are a surface roughening agent for aluminum and a surface roughening method using said surface roughening agent wherein it is possible to easily reduce costs for the surface roughening step and to improve the adhesiveness between aluminum and a resin. Specifically, provided is a surface roughening agent for aluminum comprising an aqueous solution containing: an alkali source, an amphoteric metal ion, a nitrate ion, and a thio compound. Moreover, provided is a surface roughening method for aluminum which involves a surface roughening step in which the surface of aluminum is treated with the aforementioned surface roughening agent.
    Type: Application
    Filed: November 8, 2010
    Publication date: December 13, 2012
    Applicant: MEC COMPANY LTD.
    Inventors: Ryo Ogushi, Minoru Otani
  • Publication number: 20120295390
    Abstract: Alternative additives that can be used in place of isopropyl alcohol in aqueous alkaline etchant solutions for texturing a surface of a single-crystalline silicon substrate are provided. The alternative additives do not have volatile constituents, yet can be used in an aqueous alkaline etchant solution to provide a pyramidal shaped texture surface to the single-crystalline silicon substrate that is exposed to such an etchant solution. Also provided is a method of forming a textured silicon surface. The method includes immersing a single-crystalline silicon substrate into an etchant solution to form a pyramid shaped textured surface on the single-crystalline silicon substrate. The etchant solution includes an alkaline component, silicon (etched into the solution as a bath conditioner) and glycerol or ethylene glycol as an additive. The textured surface of the single-crystalline silicon substrate has (111) faces that are now exposed.
    Type: Application
    Filed: May 20, 2011
    Publication date: November 22, 2012
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Kathryn C. Fisher, Jun Liu, Satyavolu S. Papa Rao, George G. Totir, James Vichiconti
  • Publication number: 20120292201
    Abstract: The invention provides a stripping gold component which could remove gold from substrate, comprising: a stripping gold chemical compound; and a assistant conductive compound wherein said stripping gold chemical compound bonds with gold to form covalent bond to strip gold from said substrate, said assistant conductive chemical compound helps the electric conduction and decreases the voltage, said substrate would not be damaged after stripping gold from said substrate, and the stripping gold component is cyanide free.
    Type: Application
    Filed: April 27, 2012
    Publication date: November 22, 2012
    Applicant: UWIN NANOTECH CO., LTD.
    Inventor: Ching-Hsiang Hsu
  • Publication number: 20120270399
    Abstract: The present invention relates to a CMP slurry composition comprising an abrasive particle; a dispersant; an ionic polymer additive; and a non-ionic polymer additive including a polyolefin-polyethylene glycol copolymer including at least two polyethylene glycol repeat unit as a backbone and at least a polyethylene glycol repeating unit as a side chain, and a polishing method with using the slurry composition. The CMP slurry composition shows a low polishing rate to a single-crystalline silicon layer or a polysilicon layer and a high polishing rate to a silicon oxide layer, resulting in having an excellent polishing selectivity.
    Type: Application
    Filed: October 13, 2010
    Publication date: October 25, 2012
    Applicant: LG CHEM, LTD.
    Inventors: Dong-Mok Shin, Eun-Mi Choi, Seung-Beom Cho
  • Publication number: 20120256122
    Abstract: A composition for etching of a ruthenium-based metal, in which there are added and mixed at least a bromine-containing compound, an oxidizing agent, a basic compound and water, wherein the amount of bromine-containing compound added is 2-25 mass %, as bromine, and the amount of oxidizing agent added is 0.1-12 mass %, with respect to the total mass, and the pH is at least 10 and less than 12. It is possible to accomplish efficient etching of ruthenium-based metals.
    Type: Application
    Filed: December 15, 2010
    Publication date: October 11, 2012
    Applicant: SHOWA DENKO K. K.
    Inventors: Fuyuki Sato, Yasuo Saito
  • Publication number: 20120225563
    Abstract: Disclosed are an etching liquid which is used for etching a silicon substrate rear surface in a through silicon via process, etches only a silicon substrate without etching a connecting plug composed of a metal such as copper, tungsten, etc., or polysilicon or the like, and has an excellent etching rate; and a method for manufacturing a semiconductor chip having a through silicon via using the same. The etching liquid is an etching liquid for etching a silicon substrate rear surface in a through silicon via process containing potassium hydroxide, hydroxylamine, and water; and the method for manufacturing a semiconductor chip includes a silicon substrate rear surface etching step using the etching liquid.
    Type: Application
    Filed: November 8, 2010
    Publication date: September 6, 2012
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC
    Inventors: Ryuji Sotoaka, Yoshiko Fujioto
  • Publication number: 20120190210
    Abstract: Provided are an etching solution in which in etching processing of silicon, particularly in anisotropic etching processing of silicon in a manufacturing process of semiconductors or MEMS parts, a high etching rate is realized by suppressing a lowering an Si etching rate, which is characteristic in a hydroxylamine-containing etching solution and is caused when Cu exists in the solution, and an etching method. The etching solution is a silicon etching solution which is an alkaline aqueous solution containing an alkaline hydroxide, hydroxylamine, and a thiourea and is characterized by dissolving anisotropically monocrystalline silicon therein, and the etching method is an etching method of silicon using the etching solution.
    Type: Application
    Filed: September 29, 2010
    Publication date: July 26, 2012
    Applicant: MITSUBISHI GAS CHEMICAL COMPANY, INC.
    Inventors: Yoshiko Fujioto, Ryuji Sotoaka
  • Publication number: 20120190199
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising silica, one or more tetraalkylammonium salts, one or more bicarbonate salts, one or more alkali metal hydroxides, one or more aminophosphonic acids, one or more rate accelerator compounds, one or more polysaccharides, and water. The polishing composition reduces surface roughness and PSD of polished substrates. The invention further relates to a method of chemically-mechanically polishing a substrate, especially a silicon substrate, using the polishing composition described herein.
    Type: Application
    Filed: January 17, 2012
    Publication date: July 26, 2012
    Inventors: Brian REISS, John CLARK, Lamon JONES, Michael WHITE
  • Publication number: 20120187336
    Abstract: Method of using improved compositions for conditioning silicon surfaces during the manufacture of photovoltaic devices. Used for removing particles, organic contamination, and unwanted metals from these surfaces. Also used for removing a thin layer of silicon as required for damage removal or texturing. These conditioning and surface preparation compositions comprise one or more water soluble strongly basic components capable of producing a pH greater than 10, one or more water soluble organic amines, one or more chelating agents, and water.
    Type: Application
    Filed: January 20, 2012
    Publication date: July 26, 2012
    Applicant: SURFACE CHEMISTRY DISCOVERIES, INC.
    Inventors: Shahriar Naghshineh, Ewa Oldak, George Schwartzkopf
  • Patent number: 8211193
    Abstract: A method of chemical mechanical polishing a surface of a substrate including the step of: contacting the substrate and a composition including a plurality of colloidal silica particles having less than 200 ppb of each trace metal impurity, excluding potassium and sodium, have less than 2 ppm residual alcohol and wherein the cumulative concentration of the trace metal, excluding potassium and sodium, is in the range from about 0.5 to about 5 ppm; and a medium for suspending the particles; wherein the composition is an ultrapure colloidal silica dispersion; and wherein the contacting is carried out at a temperature and for a period of time sufficient to planarize the substrate.
    Type: Grant
    Filed: September 22, 2006
    Date of Patent: July 3, 2012
    Assignee: Fujifilm Planar Solutions, LLC
    Inventors: Deepak Mahulikar, Yuhu Wang, Ken A. Delbridge, Gert R. M. Moyaerts, Saeed H. Mohseni, Nichole R. Koontz, Bin Hu, Liqing Wen
  • Publication number: 20120138851
    Abstract: A polishing composition contains at least one water soluble polymer selected from the group consisting of polyvinylpyrrolidone and poly(N-vinylformamide), and an alkali, and preferably further contains at least one of a chelating agent and an abrasive grain. The water soluble polymer preferably has a weight average molecular weight of 6,000 to 4,000,000. The polishing composition is mainly used in polishing of the surfaces of semiconductor wafers such as silicon wafers, especially used in preliminary polishing of the surfaces of such wafers.
    Type: Application
    Filed: February 8, 2012
    Publication date: June 7, 2012
    Applicant: FUJIMI INCORPORATED
    Inventor: Yasuhide Uemura
  • Publication number: 20120129346
    Abstract: A polishing agent of the invention comprises tetravalent metal hydroxide particles, a cationized polyvinyl alcohol, at least one type of saccharide selected from the group consisting of an amino sugar, a derivative of the amino sugar, a polysaccharide containing an amino sugar and a derivative of the polysaccharide, and water. The method for polishing a substrate of the invention comprises a step of polishing the silicon oxide film 1 (film to be polished), formed on the silicon substrate 2 having the silicon oxide film 1, by relatively moving the silicon substrate 2 and a polishing platen, in a state that the silicon oxide film 1 is pressed against a polishing pad on the polishing platen, while supplying the polishing agent of the invention between the silicon oxide film 1 and the polishing pad.
    Type: Application
    Filed: September 14, 2010
    Publication date: May 24, 2012
    Inventors: Daisuke Ryuzaki, Takenori Narita, Yousuke Hoshi, Tomohiro Iwano
  • Publication number: 20120112321
    Abstract: An etching liquid for texturing a silicon wafer surface is provided. The etching liquid may include an aqueous solution of at least one alkaline etching agent and at least one polysaccharide or derivative thereof. Also provided is a process for texture etching a silicon wafer using the etching liquid of the invention.
    Type: Application
    Filed: November 4, 2010
    Publication date: May 10, 2012
    Applicant: SOLARWORLD INDUSTRIES AMERICA, INC.
    Inventor: Konstantin Holdermann
  • Patent number: 8142714
    Abstract: The invention relates to the use of a cleaning agent that contains surfactants and has a pH value of at least 11 when diluted in an aqueous solution and ready for use. Said cleaning agent is used to destabilize prions during mechanical and manual cleaning and/or disinfection of medical and/or surgical instruments and appliances. It has been recognized that this combination enables a reliable destabilization of prions during the mechanical reconditioning of surgical instruments.
    Type: Grant
    Filed: January 28, 2003
    Date of Patent: March 27, 2012
    Assignee: Chemische Fabrik Dr. Weigert GmbH & Co. KG
    Inventors: Petra Tiarks, Jurgen Staffeldt
  • Publication number: 20120058642
    Abstract: The invention relates to a chemical-mechanical polishing composition comprising silica, one or more organic carboxylic acids or salts thereof, one or more polysaccharides, one or more bases, optionally one or more surfactants and/or polymers, optionally one or more reducing agents, optionally one or more biocides, and water, wherein the polishing composition has an alkaline pH. The polishing composition exhibits a high removal rate and low particle defects and low haze. The invention further relates to a method of chemically-mechanically polishing a substrate using the polishing composition described herein.
    Type: Application
    Filed: September 2, 2010
    Publication date: March 8, 2012
    Inventors: Michael White, Richard Romine, Brian Reiss, Jeffrey Gilliland, Lamon Jones
  • Publication number: 20120055865
    Abstract: A method for removing particles or deposits from a surface having particles or deposits thereon. The method involves contacting a surface with a chemical composition sufficient to selectively dissolve and remove at least a portion of the particles or deposits from the surface. The chemical composition is compatible with the surface. This disclosure also relates to a system of specially designed equipment for removing particles or deposits from a surface having particles or deposits thereon. The disclosure is useful, for example, in cleaning porous surfaces, media for cartridge, pleated and membrane surfaces, and internal walls of tanks or filter housings.
    Type: Application
    Filed: June 14, 2011
    Publication date: March 8, 2012
    Inventors: Saeed H. Mohseni, Deepak Mahulikar, Elizabeth Gramm
  • Patent number: 8123976
    Abstract: As a washing liquid and an etching solution for semiconductor substrates and glass substrates, alkaline aqueous solutions are used; however, since metal impurities are adsorbed on the substrate surface during processing, a next process for removing the adsorbed metal impurities is required. In addition, when a washing liquid is used, it cannot wash off metal impurities; therefore an acid washing process is required. The present invention provides an aqueous solution composition, which is an alkaline aqueous solution but is able to prevent adsorption of metal impurities, which also has cleaning capability. By means of an alkaline aqueous solution composition used for washing or etching a substrate, the composition comprising a chelating agent represented by the general formula (1): and an alkaline component, the adsorption of metal impurities on the substrate is prevented, and metal impurities adsorbed on the substrate are washed off.
    Type: Grant
    Filed: June 6, 2008
    Date of Patent: February 28, 2012
    Assignee: Kanto Kagaku Kabushiki Kaisha
    Inventor: Norio Ishikawa
  • Publication number: 20120034725
    Abstract: In a method for the treatment of silicon wafers in the production of solar cells, a treatment liquid is applied to the surface of the silicon wafers for the purpose of texturization thereof. The treatment liquid contains, as additive, ethyl hexanol or cyclohexanol in an amount ranging from 0.5% to 3%, by weight.
    Type: Application
    Filed: September 2, 2011
    Publication date: February 9, 2012
    Applicant: Gebr. Schmid GmbH
    Inventor: Izaaryene Maher
  • Publication number: 20110318994
    Abstract: A method of preparing an edge-strengthened article comprises polishing of an edge of an article having a first edge strength using magnetorheological finishing, wherein after the polishing the article has a second edge strength and the second edge strength is greater than the first edge strength.
    Type: Application
    Filed: May 20, 2011
    Publication date: December 29, 2011
    Inventors: Charles Michael Darcangelo, Steven Edward DeMartino, Joseph Fabian Ellison, Richard A. Nasca, Aric Bruce Shorey, David Alan Tammaro, John Christopher Thomas
  • Patent number: 8057697
    Abstract: A lapping composition is presented, wherein that lapping composition is formed by mixing a solvent, a base, and a phenolic compound having structure I: wherein R1 is selected from the group consisting of —O?Mx+ wherein x is selected from the group consisting of 1, 2, and 3, —O—R3 wherein R3 is selected from the group consisting of alkyl, allyl, and phenyl, —N(R3R4) wherein R4 is selected from the group consisting of —H, alkyl, allyl, and phenyl, and —S—R3; and wherein R2 is selected from the group consisting of —O?Mx+ wherein x is selected from the group consisting of 1, 2, and 3, —O—R3 wherein R3 is selected from the group consisting of alkyl, allyl, and phenyl, —N(R3R4) wherein R4 is selected from the group consisting of —H, alkyl, allyl, and phenyl, and —S—R3.
    Type: Grant
    Filed: November 9, 2009
    Date of Patent: November 15, 2011
    Inventor: John L. Lombardi
  • Publication number: 20110275222
    Abstract: The present disclosure includes a texture formulation that includes an aliphatic diol, an alkaline compound and water which provides a consistent textured region across a silicon surface suitable for solar cell applications. Processes for texturing a crystalline silicon substrate using these formulations are also described.
    Type: Application
    Filed: May 4, 2011
    Publication date: November 10, 2011
    Inventors: Zhi-Wen Sun, Sagar Vijay
  • Publication number: 20110272625
    Abstract: Methods for surface texturing a crystalline silicon substrate are provided. In one embodiment, the method includes providing a crystalline silicon substrate, wetting the substrate with an alkaline solution comprising a wetting agent, and forming a textured surface with a structure having a depth about 1 ?m to about 10 ?m on the substrate. In another embodiment, a method of performing a substrate texture process includes providing crystalline silicon substrate, pre-cleaning the substrate in a HF aqueous solution, wetting the substrate with a KOH aqueous solution comprising polyethylene glycol (PEG) compound, and forming a textured surface with a structure having a depth about 3 ?m to about 8 ?m on the substrate.
    Type: Application
    Filed: July 13, 2011
    Publication date: November 10, 2011
    Inventors: Kapila Wijekoon, Rohit Mishra, Michael P. Stewart, Timothy Weidman, Hari Ponnekanti, Tristan R. Holtam
  • Publication number: 20110260097
    Abstract: A product is obtained by mixing at least one polyethylene glycol with a base, allowing the mixture to rest in ambient air and at a temperature of approximately 25 degrees Celsius to form two phases, and separating the less dense phase representing the product. The product is used as an additive to etching solutions.
    Type: Application
    Filed: November 5, 2009
    Publication date: October 27, 2011
    Applicant: GP SOLAR GMBH
    Inventors: Ihor Melnyk, Jens Kruemberg, Michael Schmidt, Michael Michel
  • Publication number: 20110250762
    Abstract: A method of simultaneously cleaning inorganic and organic contaminants from semiconductor wafers and micro-etching the semiconductor wafers. After the semiconductor wafers are cut or sliced from ingots, they are contaminated with cutting fluid as well as metal and metal oxides from the saws used in the cutting process. Aqueous alkaline cleaning and micro-etching solutions containing alkaline compounds and mid-range alkoxylates are used to simultaneously clean and micro-etch the semiconductor wafers.
    Type: Application
    Filed: October 14, 2010
    Publication date: October 13, 2011
    Applicant: Rohm and Haas Electronic Materials LLC
    Inventors: Robert K. Barr, Raymond Chan
  • Publication number: 20110244184
    Abstract: An etching solution for texturing a silicon wafer surface is provided. The etching solution may include an aqueous solution of at least one alkaline etching agent and at least one organic compound, wherein the organic compound is a polyalcohol comprising at least four hydroxy groups or a derivative thereof.
    Type: Application
    Filed: April 1, 2010
    Publication date: October 6, 2011
    Applicant: SOLARWORLD INDUSTRIES AMERICA, INC.
    Inventor: Konstantin Holdermann
  • Patent number: 8029683
    Abstract: A solar cell is fabricated by etching one or more of its layers without substantially etching another layer of the solar cell. In one embodiment, a copper layer in the solar cell is etched without substantially etching a topmost metallic layer comprising tin. For example, an etchant comprising sulfuric acid and hydrogen peroxide may be employed to etch the copper layer selective to the tin layer. A particular example of the aforementioned etchant is a Co-Bra Etch® etchant modified to comprise about 1% by volume of sulfuric acid, about 4% by volume of phosphoric acid, and about 2% by volume of stabilized hydrogen peroxide. In one embodiment, an aluminum layer in the solar cell is etched without substantially etching the tin layer. For example, an etchant comprising potassium hydroxide may be employed to etch the aluminum layer without substantially etching the tin layer.
    Type: Grant
    Filed: October 14, 2008
    Date of Patent: October 4, 2011
    Assignee: SunPower Corporation
    Inventors: Douglas H. Rose, Pongsthorn Uralwong, David D. Smith
  • Publication number: 20110230053
    Abstract: The present invention is directed to provide an etching agent for a semiconductor substrate, which is capable of etching a titanium (Ti)-based metal film or a tungsten (W)-based metal film on a semiconductor substrate and an etching method using relevant etching agent, and relates to a liquid for preparing the etching agent for a semiconductor substrate composed of a solution comprising (A) hydrogen peroxide, (B) a phosphonic acid chelating agent having a hydroxyl group, (C) a basic compound, and (D-1) a copper anticorrosive and/or (D-2) 0.
    Type: Application
    Filed: December 19, 2008
    Publication date: September 22, 2011
    Applicant: WAKO PURE CHEMICAL INDUSTRIES, LTD.
    Inventors: Osamu Matsuda, Nobuyuki Kikuchi, Ichiro Hayashida, Satoshi Shirahata
  • Publication number: 20110183448
    Abstract: A liquid composition used to carry out crystal anisotropic etching of a silicon substrate provided with an etching mask formed of a silicon oxide film with the silicon oxide film used as a mask includes cesium hydroxide, an alkaline organic compound, and water.
    Type: Application
    Filed: January 26, 2011
    Publication date: July 28, 2011
    Applicant: CANON KABUSHIKI KAISHA
    Inventors: Hiroyuki Abo, Taichi Yonemoto, Shuji Koyama, Kenta Furusawa, Keisuke Kishimoto
  • Patent number: 7981317
    Abstract: The present invention provides a composition for surface modification of a heat sink, the composition including: 0.01 to 10 parts by weight of an organic titanium compound; 0.01 to 5 parts by weight of an organic silane compound; 0.1 to 10 parts by weight of an organic acid; 0.01 to 5 parts by weight of a sequestering agent; and 0.1 to 10 parts by weight of a buffer with respect to 100 parts by weight of distilled water. The composition of the present invention provides excellent adhesion strength with prepreg, and improve heat releasing performance.
    Type: Grant
    Filed: September 22, 2008
    Date of Patent: July 19, 2011
    Assignees: Samsung Electro-Mechanics Co., Ltd., YMT Co., Ltd.
    Inventors: Young-Ho Lee, Steve Chun, Dek-Gin Yang, Chan-Yeup Chung, Yun-Seok Hwang, Keun-Ho Kim
  • Publication number: 20110155949
    Abstract: A process for producing an aluminum wheel includes a cleaning step, in which the surface of the aluminum wheel is chemically etched with an alkali cleaning liquid which contains an alkali builder, an organic builder, and a chelating agent to such an extent that the Si atomic ratio of metal Si to oxide Si is from 0.01 to 9, and a shot blast treatment step can be omitted for cleaning the surface of the aluminum wheel.
    Type: Application
    Filed: August 19, 2009
    Publication date: June 30, 2011
    Applicants: CENTRAL MOTOR WHEEL CO., LTD., NIHON PARKERIZING CO., LTD.
    Inventors: Takeshi Yamada, Yoshitomo Fujii, Hiroyuki Sato, Soichi Nomoto
  • Publication number: 20110155689
    Abstract: An etching paste suitable for etching films comprising an etchant and a component is provided. The etching process comprises applying the etching paste of the present invention to the transparent conductive metal oxide film by a paste application method so that the film is etched. Through the combination of the etching paste and the paste application method, the transparent conductive metal oxide film having stable scattering properties is obtained and can be used in the manufacture of a-Si solar cells.
    Type: Application
    Filed: December 29, 2010
    Publication date: June 30, 2011
    Applicant: DU PONT APOLLO LTD.
    Inventors: Yuting LIN, Wenkai HSU, Shihche HUANG
  • Publication number: 20110136344
    Abstract: The invention provides a polishing composition comprising silica, an aminophosphonic acid, a polysaccharide, a tetraalkylammonium salt, a bicarbonate salt, an azole ring, and water, wherein the polishing composition has a pH of about 7 to about 11. The invention further provides a method of polishing a substrate with the polishing composition.
    Type: Application
    Filed: December 17, 2010
    Publication date: June 9, 2011
    Inventors: Brian REISS, Timothy Johns, Michael White, Lamon Jones, John Clark