Insulating Layer Of Glass Patents (Class 257/650)
  • Patent number: 6791164
    Abstract: A stereolithographically fabricated package that surrounds at least a portion of a semiconductor die so as to substantially hermetically seal the same. The package may be fabricated from thermoplastic glass, other types of glass, ceramics, or metals. Stereolithographic processes are used to fabricate at least a portion of the substantially hermetic package around the semiconductor dice of assemblies including carrier substrates or leads or around bare or minimally packaged semiconductor dice, including on dice that have yet to be singulated from a wafer. As at least a portion of the substantially hermetic package is stereolithographically fabricated, that portion may include a series of superimposed, contiguous, mutually adhered layers of a suitable hermetic material. The layers can be fabricated by consolidated selected regions of a layer of unconsolidated particulate or powdered material, or by defining an object layer from a sheet of material.
    Type: Grant
    Filed: January 9, 2002
    Date of Patent: September 14, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Warren M. Farnworth
  • Patent number: 6787886
    Abstract: A semiconductor device includes a semiconductor substrate which has a major surface and a MOS transistor which has a gate and first and second diffusion regions and which is formed on the major surface. The semiconductor device also includes a laminated structure of a SOG layer, wherein the laminated structure is composed of a base layer and a surface layer formed on the base layer and is formed over the MOS transistor and wherein the surface layer is denser than the base layer.
    Type: Grant
    Filed: February 4, 2000
    Date of Patent: September 7, 2004
    Assignee: Oki Electric Industry Co., Ltd.
    Inventors: Kazuhiko Asakawa, Wataru Shimizu
  • Patent number: 6774461
    Abstract: The present invention provides a technique to reduce a stress of thick spin-on dielectric layer by forming a sandwich dielectric structure, wherein a first dielectric layer is formed on a substrate by spin coating, a liquid phase deposited (LPD) silica layer is formed the first dielectric layer, and a second dielectric layer is formed on the LPD silica layer by spin coating. The LPD silica layer can be further subjected to a nitrogen plasma treatment to enhance its thermal stability and anti-water penetration ability.
    Type: Grant
    Filed: February 15, 2002
    Date of Patent: August 10, 2004
    Assignee: National Science Council
    Inventors: Ching-Fa Yeh, Yueh-Chuan Lee, Chih-Chuan Hsu, Kwo-Hau Wu, Shuo-Cheng Wang
  • Patent number: 6765283
    Abstract: A semiconductor device comprising: an underlayer interconnect layer; an interlayer dielectric film formed with a connection hole reaching the underlayer interconnect layer; and an upper interconnect layer buried in the connection hole, wherein the interlayer dielectric film includes an insulating film containing an impurity for detecting a first etching end point, a first insulating film, an insulating film containing an impurity for detecting a second etching end point and a second insulating film, these four films being laminated in this order.
    Type: Grant
    Filed: July 24, 2002
    Date of Patent: July 20, 2004
    Assignee: Sharp Kabushiki Kaisha
    Inventor: Takeshi Umemoto
  • Publication number: 20040124499
    Abstract: Semiconductor device structures and methods of making such structures that include one or more etched openings (e.g., capacitor containers and/or contact apertures) therein with increased height-to-width ratios are provided. The structures of the present invention are formed by successive layer deposition wherein conventional patterning techniques may be utilized in a stepwise fashion as the height of the structure is increased. Further provided is a self-aligning interconnection structure which may be used to substantially vertically align openings formed in successively deposited, vertically placed structural layers of a semiconductor device. The interconnection structure utilizes a cap-and-funnel model that self-aligns to the center plane of an opening in a first structural layer and also substantially prevents subsequently deposited material from entering the opening.
    Type: Application
    Filed: December 26, 2002
    Publication date: July 1, 2004
    Inventor: Lingyi A. Zheng
  • Publication number: 20040119145
    Abstract: A thermal activated SACVD method for depositing a phosphorus oxide layer onto a silicon oxide wafer comprising the steps of: loading an SACVD device with a silicon oxide wafer; depositing a phosphorus doped oxide (PSG) layer on the USG layer using pure oxygen and a phosphorus and silicon source; purging the SACVD device; and depositing a boron and phosphorus doped oxide (BPSG) layer on the PSG layer.
    Type: Application
    Filed: September 13, 2001
    Publication date: June 24, 2004
    Applicant: TECH SEMICONDUCOR SINGAPORE PTE. LTD.
    Inventors: Jian Sun, Hing Ho Au, Yew Hoong Phang
  • Patent number: 6730619
    Abstract: A method of manufacturing an insulating layer that ensures reproducibility across like manufacturing apparatus. The insulating layer is formed on the substrate by (a) flowing an oxidizing gas at an oxidizing gas flow rate, (b) flowing a first carrier gas at a first carrier gas flow rate while carrying a first impurity including boron flowing at a first impurity flow rate, (c) flowing a second carrier gas at a second carrier gas flow rate while carrying a second impurity including phosphorus flowing at a second impurity flow rate, and (d) flowing a silicon source material at a silicon source flow rate. The second carrier gas flow rate is greater than the first carrier gas flow rate.
    Type: Grant
    Filed: April 16, 2002
    Date of Patent: May 4, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Woo-Chan Jung, Jin-Ho Jeon, Jeon-Sig Lim, Jong-Seung Yi
  • Patent number: 6707134
    Abstract: A semiconductor structure includes a substrate, a dielectric layer disposed on the substrate, a layer of undoped silicate glass disposed on the dielectric layer, a layer of borophosphorous silicate glass on the layer of undoped silicate glass, and a planar dielectric layer disposed on the layer of borophosphorous silicate glass, the layers of undoped silicate glass, borophosphorous silicate glass, and planar dielectric together forming a pre-metal dielectric stack. The planar dielectric may include plasma-enhanced tetraethyl orthosilicate.
    Type: Grant
    Filed: August 3, 2000
    Date of Patent: March 16, 2004
    Assignee: STMicroelectronics, Inc.
    Inventors: Shin Hwa Li, Annie Tissier
  • Patent number: 6696745
    Abstract: A method for use with the formation of a capacitor includes providing a capacitor structure by forming a first electrode on a portion of a substrate assembly, forming a high dielectric material over at least a portion of the first electrode, and forming a second electrode over the high dielectric material. An additional layer may be formed over at least a portion of the second electrode. The portion of the substrate assembly on which the first electrode is formed and/or the layer formed over the second electrode are formed of an excess oxygen containing material.
    Type: Grant
    Filed: February 27, 2002
    Date of Patent: February 24, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Garo Derderian
  • Patent number: 6690084
    Abstract: A semiconductor device including an insulation film superior in insulation characteristic is obtained. Boron ions are introduced by ion implantation into an organic SOG film with a silicon nitride film formed on the organic SOG film. By this boron implantation, the property of the organic SOG film is modified. The moisture and hydroxyl group included in the film are greatly reduced irrespective of the amount of dose of ions. By using such a layered film of a modified SOG film and a silicon nitride film thereupon as an interlayer insulation film or a passivation film, the water resistance of a semiconductor device can be improved sufficiently.
    Type: Grant
    Filed: November 21, 2000
    Date of Patent: February 10, 2004
    Assignee: Sanyo Electric Co., Ltd.
    Inventors: Hideki Mizuhara, Hiroyuki Watanabe, Naoteru Matsubara
  • Patent number: 6667540
    Abstract: The fixed charge in a borophosphosilicate glass insulating film deposited on a semiconductor device is reduced by reacting an organic precursor such as TEOS with O3. When done at temperatures higher than approximately 480 degrees C., the carbon level in the resulting film appears to be reduced, resulting in a higher threshold voltage for field transistor devices.
    Type: Grant
    Filed: August 26, 2002
    Date of Patent: December 23, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Randhir P. S. Thakur, Howard E. Rhodes
  • Patent number: 6620534
    Abstract: A method of forming a film having enhanced reflow characteristics at low thermal budget is disclosed, in which a surface layer of material is formed above a base layer of material, the surface layer having a lower melting point than the base layer. In this way, a composite film having two layers is created. After reflow, the surface layer can be removed using conventional methods.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: September 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Gurtei Sandhu, Randhir P. S. Thakur
  • Patent number: 6597042
    Abstract: A contact to a semiconductor substrate including a contact opening extending through an insulating layer to a doped active region of the semiconductor substrate. The contact opening can have a relatively high aspect ratio of 2:1 or greater. The contact further includes a refractory metal germanosilicide region at the bottom of the contact opening, a refractory metal germanide layer at the sidewalls of the contact opening, and an overlying refractory metal nitride layer. The refractory metals of the invention include at least tantalum, titanium, cobalt and mixtures thereof. The contact is metallized, preferably using tungsten or aluminum. The method of manufacturing the contact comprises etching the contact opening. A germane gas is used to clean native silicon dioxide from the bottom of the contact opening and to deposit a germanium layer thereon. A refractory metal layer is deposited over the germanium layer. After annealing in a nitrogen atmosphere at a temperature of about 600° C.
    Type: Grant
    Filed: April 12, 2000
    Date of Patent: July 22, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Jeffrey Honeycutt, Sujit Sharan
  • Publication number: 20030116827
    Abstract: In a method of data transmission according to one embodiment of the invention, data transitions having the same clock dependence are separated in space. In one such method, signals of one set are transmitted on corresponding conductive paths in one direction, signals of another set are transmitted on corresponding conductive paths in the other direction, and adjacent conductive paths that each carry a signal of one set are separated by at least one conductive path that carries a signal of another set. In an apparatus according to one embodiment of the invention, the conductive paths are fabricated on a semiconductor substrate.
    Type: Application
    Filed: December 20, 2001
    Publication date: June 26, 2003
    Inventors: Yvon Savaria, Jean-Jacques Laurin, Zhong-Fang Jin
  • Publication number: 20030116826
    Abstract: An interconnect structure has at least two adjacent metal wiring lines patterned on a semiconductor substrate and separated by a gap. A dielectric layer is formed on the metal wiring lines to fill the gap to a predetermined thickness. A metallic barrier layer, which may be of Ti, TiN, Ta, TaN, Cu or copper alloys are sandwiched between the sidewall of the metal wiring line and the dielectric layer. In addition, a contact plug passing through the dielectric layer is electrically connected to the top of the metal wiring line.
    Type: Application
    Filed: December 20, 2001
    Publication date: June 26, 2003
    Inventors: Chen-Chiu Hsue, Shyh-Dar Lee, Tzu-Kun Ku
  • Publication number: 20030111712
    Abstract: The present invention describes a structure having a multilayer stack of thin films, the thin films being a low-dielectric constant material, the thin films having pores, and a method of forming such a structure.
    Type: Application
    Filed: December 14, 2001
    Publication date: June 19, 2003
    Inventor: Ebrahim Andideh
  • Patent number: 6548873
    Abstract: A semiconductor device causes less element characteristic fluctuation and hardly causes parasitic actions even when a wire having a barrier metal made of a titanium material is provided. The semiconductor device includes a MOS transistor provided on the surface side of a semiconductor substrate, a first silicon oxide film, a silicon nitride film and a second silicon oxide film provided on the semiconductor substrate while covering the MOS transistor, and a wire having a barrier metal made of titanium material and provided on the insulating film, wherein the silicon nitride film covers the MOS transistor and has an opening on an element isolating region for isolating the MOS transistors. The silicon nitride film is formed in one and the same process as that of a dielectric film of a capacitor element.
    Type: Grant
    Filed: October 12, 1999
    Date of Patent: April 15, 2003
    Assignee: Sony Corporation
    Inventors: Hiroaki Ammo, Hiroyuki Miwa, Shigeru Kanematsu
  • Patent number: 6521980
    Abstract: An integrated circuit package may be formed in part with an encapsulated region. Outflow of the encapsulant across critical electrical elements can be prevented by providing a cavity which collects encapsulant outflow between the region of encapsulation and the region where the critical components are situated. In one embodiment of the present invention, a surface may include a first portion covered by solder resist, having an area populated by bond pads, and a second portion which is encapsulated. Encapsulant flow over the bond pads is prevented by forming an opening in the solder resist proximate to the second portion to collect the encapsulant before it reaches the bond pads.
    Type: Grant
    Filed: October 4, 2000
    Date of Patent: February 18, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Patrick W. Tandy, Joseph M. Brand, Brad D. Rumsey, Steven R. Stephenson, David J. Corisis, Todd O. Bolken, Edward A. Schrock, Brenton L. Dickey
  • Patent number: 6509628
    Abstract: In a semiconductor chip, a second power source pad, to which a ground potential is applied, is arranged adjacent to a first power source pad, to which a power source potential is applied, signal pads are arranged adjacent to the second power source pad by the number corresponding to a size of an external part such as a bypass capacitor inserted between power source terminals in an IC chip, and further, a third power source pad, to which the ground potential is applied, is arranged adjacent to the signal pad. The second power source pad or the third power source pad is selected according to the size of the external part, and then, is connected to a lead terminal, to which the ground potential is applied, in an IC chip, thereby providing a power source terminal, to which the ground potential is applied.
    Type: Grant
    Filed: December 14, 2001
    Date of Patent: January 21, 2003
    Assignee: Fujitsu Limited
    Inventors: Yutaka Takinomi, Kazushi Sawai, Shinichi Watanabe
  • Patent number: 6509627
    Abstract: The invention is a method for constructing an integrated circuit structure and an apparatus produced by the method. The method generally comprises constructing an integrated circuit structure by disposing a layer of doped oxide, the dopant being iso-electronic to silicon, and then reflowing the layer of doped oxide. Thus, the apparatus of the invention is an integrated circuit structure comprising a reflowed layer of doped oxide wherein the dopant is iso-electronic to silicon. In one particular embodiment, the method generally comprises constructing an integrated circuit feature on a substrate; disposing a layer of doped oxide, the dopant being iso-electronic to silicon, over the integrated circuit feature and the substrate in a substantially conformal manner; reflowing the layer of doped oxide; and etching the insulating layer and the oxide.
    Type: Grant
    Filed: June 18, 2001
    Date of Patent: January 21, 2003
    Assignee: Micro Technology, Inc.
    Inventor: Anand Srinivasan
  • Patent number: 6489667
    Abstract: Semiconductor devices and methods of manufacturing such devices are disclosed. In one embodiment of this invention, a semiconductor chip is bonded to a first surface of a substrate. The substrate extends beyond the edge of the chip. Signal input/output pads on the chip are juxtaposed with an opening in the substrate. A molded support is formed on the portion of the first surface of the substrate that extends beyond between the sidewall of the edge of the chip. The support prevents bending of the substrate, and allows solder balls to be formed on the entire area of a second surface of the substrate opposite the first surface of the substrate. A heat dissipating plate is mounted on a surface of the chip opposite the substrate. The heat dissipating plate is attached to the support.
    Type: Grant
    Filed: October 20, 1999
    Date of Patent: December 3, 2002
    Assignee: Amkor Technology, Inc.
    Inventors: Il Kwon Shim, Chang Kyu Park, Byung Joon Han, Vincent DiCaprio, Paul Hoffman
  • Patent number: 6483173
    Abstract: Low k dielectrics such as black diamond have a tendency to delaminate from the edges of a silicon wafer, causing multiple problems, including blinding of the alignment mark. This problem has been overcome by inserting a layer of silicon nitride between the low k layer and the substrate. A key requirement is that said layer of silicon nitride be under substantial compressive stress (at least 5×109 dynes/cm2). In the case of a layer of black diamond, on which material the invention is particularly focused, a nucleating layer is also inserted between the silicon nitride and the black diamond. A process for laying down the required layers is described together with an example of applying the invention to a dual damascene structure.
    Type: Grant
    Filed: December 31, 2001
    Date of Patent: November 19, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Lain-Jong Li, Shwangming Jeng, Syun-Ming Jang
  • Publication number: 20020163062
    Abstract: A structure/method for reducing the stress between a dielectric, passivation layer and a metallic structure comprising coating the metallic structure with a low stress modulus buffer material, and forming the dielectric passivation layer covering the low stress modulus buffer material. The low stress modulus buffer material is composed of a layer of a polymeric material selected from at least one of the group consisting of a hydrogen/alkane SQ (SilsesQuioxane) resin, polyimide, and a polymer resin. The dielectric, passivation layer is composed of at least one layer of a material selected from at least one of the group consisting of silicon oxide and silicon nitride. A protective layer is formed over the dielectric, passivation layer. The low stress modulus buffer material has a thermal coefficient of expansion between that of the metallic structure and that of the dielectric passivation layer.
    Type: Application
    Filed: February 26, 2001
    Publication date: November 7, 2002
    Applicant: International Business Machines Corporation
    Inventors: Ping-Chuan Wang, Robert Daniel Edwards, John C. Malinowski, Vidhya Ramachandran, Steffen Kaldor
  • Patent number: 6476415
    Abstract: This invention relates to a method of fabricating a light modulation system having a semiconductor substrate. In one exemplary method, an optical layer is applied over a semiconductor substrate which includes a plurality of integrated circuits. Each of these integrated circuits is capable of creating a separate display device. A protective layer is then applied over the optical layer. The plurality of integrated circuits is then singulated. Various other embodiments of apparatuses and methods are disclosed.
    Type: Grant
    Filed: July 20, 2000
    Date of Patent: November 5, 2002
    Assignee: Three-Five Systems, Inc.
    Inventors: Tobias W. Walker, Douglas J. McKnight, Kam Wan
  • Publication number: 20020140058
    Abstract: In a semiconductor chip, a second power source pad, to which a ground potential is applied, is arranged adjacent to a first power source pad, to which a power source potential is applied, signal pads are arranged adjacent to the second power source pad by the number corresponding to a size of an external part such as a bypass capacitor inserted between power source terminals in an IC chip, and further, a third power source pad, to which the ground potential is applied, is arranged adjacent to the signal pad. The second power source pad or the third power source pad is selected according to the size of the external part, and then, is connected to a lead terminal, to which the ground potential is applied, in an IC chip, thereby providing a power source terminal, to which the ground potential is applied.
    Type: Application
    Filed: December 14, 2001
    Publication date: October 3, 2002
    Applicant: Fujitsu Limited, Kawasaki, Japan
    Inventors: Yutaka Takinomi, Kazushi Sawai, Shinichi Watanabe
  • Patent number: 6441466
    Abstract: The fixed charge in a borophosphosilicate glass insulating film deposited on a semiconductor device is reduced by reacting an organic precursor such as TEOS with O3. When done at temperatures higher than approximately 480 degrees C., the carbon level in the resulting film appears to be reduced, resulting in a higher threshold voltage for field transistor devices.
    Type: Grant
    Filed: September 2, 1998
    Date of Patent: August 27, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Ravi Iyer, Randhir P. S. Thakur, Howard E. Rhodes
  • Patent number: 6379785
    Abstract: A substrate, preferably silicon, or other suitable material has a layer of glass material disposed thereon. The glass material of the present disclosure has a substantially increased uniformity due to the reduction in bubbles as well as a relatively smooth top surface. By virtue of the reduction in the number and size of the bubbles in the glass the dielectric properties of the glass are more uniform. Additionally, the fact that the surface of the glass is much more smooth reduces the potential of prior structures to have an unacceptably thin glass layer due to the need to grind the surface smooth.
    Type: Grant
    Filed: December 31, 1997
    Date of Patent: April 30, 2002
    Assignee: Tyco Electronic Corp
    Inventors: Kevin Glenn Ressler, Jim-Yong Chi
  • Publication number: 20020000644
    Abstract: An insulating layer having a BPSG layer, a semiconductor device and methods for fabricating them. After preparing an oxidizing atmosphere using an oxygen gas, a first seed layer is formed with a tetraethylorthosilicate (TEOS) and the oxygen gas. Thereafter, a second seed layer, used to form an insulating layer capable of controlling an amount of a boron, is formed by means of using a triethylborate (TEB), the TEOS and the oxygen gas. Then, the insulating layer having a BPSG layer is formed using the TEB, a triethylphosphate, the TEOS and an ozone gas. About 5.25 to 5.75% by weight of the boron and about 2.75 to 4.25% by weight of the phosphorous are added to the insulating layer.
    Type: Application
    Filed: March 8, 2001
    Publication date: January 3, 2002
    Inventors: Jin-Ho Jeon, Byoung-Deog Choi, Jong-Seung Yi, Tae-Wook Seo
  • Patent number: 6335561
    Abstract: A semiconductor device comprises a semiconductor substrate having an area in which a circuit element is formed, and a passivation film formed on an upper surface of the semiconductor substrate, at least part of the passivation film being uneven shaped film, an upper surface of which is formed into an uneven shape independent of a shape of a lower surface of the passivation film layer.
    Type: Grant
    Filed: January 20, 1999
    Date of Patent: January 1, 2002
    Assignee: Rohm Co., Ltd.
    Inventor: Shinya Imoto
  • Publication number: 20010048147
    Abstract: A semiconductor device includes a substrate and wirings located on the substrate. A passivation film including a first insulating film containing an impurity is located on the wirings. The first insulating film is formed from silicon oxide film materials containing greater than one percent carbon.
    Type: Application
    Filed: March 9, 1998
    Publication date: December 6, 2001
    Inventors: HIDEKI MIZUHARA, YASUNORI INOUE, HIROYUKI WATANABE, MASAKI HIRASE, KAORI MISAWA, HIROYUKI AOE, KIMIHIDE SAITO, HIROYASU ISHIHARA
  • Patent number: 6320264
    Abstract: A semiconductor device comprises a wiring in each of one or more wiring layers formed on a semiconductor substrate, and wiring sidewall layers which are formed on side edge portions of the wiring and which include fluorine-containing silicon oxide. It is possible to form an inter-wiring insulating film comprising fluorine-containing silicon oxide or Hydroxy Silsesquioxane on the outer surface of the wiring sidewall layers. Further, it is possible to form thermally diffused regions of fluorine into which fluorine is thermally diffused from the wiring sidewall layers in the inter-wiring insulating layer and near the interfaces with the wiring sidewall layers.
    Type: Grant
    Filed: June 1, 1999
    Date of Patent: November 20, 2001
    Assignee: NEC Corporation
    Inventor: Noriaki Oda
  • Patent number: 6320246
    Abstract: The invention includes a semiconductor wafer assembly, comprising: a) a semiconductor wafer substrate; and b) alternating first and second layers over the semiconductor wafer substrate, the alternating layers comprising at least one first layer and at least one second layer, the first layer comprising a first material and the second layer comprising a second material, the second material comprising atoms selected from the group consisting of yttrium, lanthanides, actinides, calcium, magnesium and mixtures thereof.
    Type: Grant
    Filed: April 24, 2000
    Date of Patent: November 20, 2001
    Assignee: Micron Technology, Inc.
    Inventor: Terry Gilton
  • Patent number: 6300667
    Abstract: A semiconductor device is fabricated first by thermocompression-bonding a silicon oxide film onto a plurality of conductive films under vacuum using a film having the silicon oxide film formed thereon and then by separating the base film from the silicon oxide film. During the separation, the base film, being composed of a fluorine-containing resin, has smaller surface energy than a silicon oxide film and thus is easy to separate, leaving the silicon oxide film on the conductive films. As a result, the silicon oxide film is adhered on the conductive films so as to cover the conductive films, and an air gap is hence provided between the conductive films. Thus, a highly reliable semiconductor device capable of high-speed operation is provided by controlling parasitic capacitances between interconnections arranged accurately and adequately adjacent to each other so that recent needs for further miniaturization and higher integration of semiconductor elements can be met.
    Type: Grant
    Filed: August 25, 1998
    Date of Patent: October 9, 2001
    Assignee: Nippon Steel Corporation
    Inventor: Yasushi Miyamoto
  • Publication number: 20010011761
    Abstract: A semiconductor device comprises a semiconductor substrate having an area in which a circuit element is formed; and a passivation film formed on an upper surface of the semiconductor substrate,
    Type: Application
    Filed: January 20, 1999
    Publication date: August 9, 2001
    Inventor: SHINYA IMOTO
  • Publication number: 20010009297
    Abstract: The present invention provides a bonding pad on a semiconductor chip such that peeling of bonding pads during interconnection in the packaging process is avoided. The bonding pad is used to electrically connect an integrated circuit in the semiconductor chip with an external circuit. The semiconductor chip comprises a first dielectric layer positioned in a predetermined area on the surface of the semiconductor chip, a second dielectric layer positioned on the surface of the semiconductor chip outside the predetermined area wherein the first dielectric layer is harder than the second dielectric layer, and a bonding pad positioned on the first dielectric layer for electrically connecting anintegrated circuit (IC) in the semiconductor chip with an external circuit.
    Type: Application
    Filed: March 8, 2001
    Publication date: July 26, 2001
    Inventors: Hermen Liu, Yimin Huang
  • Patent number: 6252298
    Abstract: An active surface of a semiconductor chip is attached to the bottom surface of a flexible circuit board having a central opening. Input/output pads on the active surface of the chip are electrically connected to a circuit layer on the top surface of the flexible circuit board through the opening. The semiconductor chip package can thus be of a size on the order of that of the chip. The circuit layer on the circuit board includes bonding pads for receipt of metal wires, land pads for receipt of terminals, and circuit traces connecting the pairs of the bonding and land pads, respectively. The input/output pads, the bonding pads, and the wires are encapsulated in an encapsulant formed by dispensing a liquid resin having a certain viscosity into the opening in the flexible circuit board. A dam around the opening prevents the liquid resin from overflowing. The flexible circuit board may further include a protective layer for protecting the circuit traces, a stiffener, or an adhesive layer thereunder.
    Type: Grant
    Filed: May 19, 1998
    Date of Patent: June 26, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Kyu Jin Lee, Wan Gyun Choi
  • Patent number: 6204551
    Abstract: A heating assembly for use in semiconductor fabrication processes to evaporate solvent contained in a liquefied spin-on-glass (SOG) layer so as cause the SOG layer to solidify. The heating assembly contains: (a) a hot plate and a loader robot to transport a semiconductor wafer to a surface of the hot plate; (b) a plurality of through holes formed in the hot plate; (c) a plurality of movable support columns traveling through the through holes to support the semiconductor wafer and allow the semiconductor wafer to descend in a controlled manner; and (d) a controller to control a descending speed of the plurality of movable support columns. By carefully controlling the descending speed of the liquefied SOG-containing wafer, the formation of micro-cracks can be eliminated, thus resulting in improved yield rate.
    Type: Grant
    Filed: August 16, 1999
    Date of Patent: March 20, 2001
    Assignee: Winbond Electronics Corp.
    Inventor: Chi-Fa Lin
  • Patent number: 6190966
    Abstract: A semiconductor memory device such as a flash Electrically Erasable Programmable Read-Only Memory (Flash EEPROM) includes a floating gate with high data retention. A tungsten damascene local interconnect structure includes a silicon nitride etch stop layer which is formed using Plasma Enhanced Chemical Vapor Deposition (PECVD) at a temperature of at least 480° C. such that the etch stop layer has a very low concentration of hydrogen ions. The minimization of hydrogen ions, which constitute mobile positive charge carriers, in the etch stop layer, minimizes recombination of the hydrogen ions with electrons on the floating gate, and thereby maximizes data retention of the device.
    Type: Grant
    Filed: March 25, 1997
    Date of Patent: February 20, 2001
    Assignee: Vantis Corporation
    Inventors: Minh Van Ngo, Sunil Mehta
  • Patent number: 6150029
    Abstract: A method of forming a film having enhanced reflow characteristics at low thermal budget is disclosed, in which a surface layer of material is formed above a base layer of material, the surface layer having a lower melting point than the base layer. In this way, a composite film having two layers is created. After reflow, the surface layer can be removed using conventional methods.
    Type: Grant
    Filed: September 5, 1997
    Date of Patent: November 21, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej Sandhu, Randhir P. S. Thakur
  • Patent number: 6127629
    Abstract: A microelectronic device is hermetically sealed at the wafer level. A substrate is provided having associated electronics and at least one metal bonding pad. A dielectric layer, such as pyrex glass film, is sputter deposited atop the substrate to form a glass/metal seal. A glass film is thereafter planarized, preferably by chemical-mechanical polishing, to remove surface variations. A cover wafer is thereafter anodically bonded to the dielectric layer/glass film so as to define a sealed cavity for housing and protecting the substrate electronics. The resultant microelectronic device is packaged in its own hermetically sealed container at the wafer level.
    Type: Grant
    Filed: October 3, 1994
    Date of Patent: October 3, 2000
    Assignee: Ford Global Technologies, Inc.
    Inventors: Kathirgamasundaram Sooriakumar, Allen Henry Meitzler, Shaun Leaf McCarthy, Russell J. Haeberle
  • Patent number: 6124626
    Abstract: Formation of a capacitor includes providing a capacitor structure by forming a first electrode on a portion of a substrate assembly, forming a high dielectric material over at least a portion of the first electrode, and forming a second electrode over the high dielectric material. An additional layer may be formed over at least a portion of the second electrode. The portion of the substrate assembly on which the first electrode is formed and/or the layer formed over the second electrode are formed of an excess oxygen containing material.
    Type: Grant
    Filed: August 25, 1999
    Date of Patent: September 26, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Gurtej S. Sandhu, Garo Derderian
  • Patent number: 6121671
    Abstract: An etchant including C.sub.2 H.sub.x F.sub.y, where x is an integer from two to five, inclusive, where y is an integer from one to four, inclusive, and where x plus y equals six. The etchant etches doped silicon dioxide with selectivity over both undoped silicon dioxide and silicon nitride. Thus, undoped silicon dioxide and silicon nitride may be employed as etch stops in dry etch processes which utilize the C.sub.2 H.sub.x F.sub.y -containing etchant. C.sub.2 H.sub.x F.sub.y may be employed as either a primary etchant or as an additive to another etchant or etchant mixture. The invention also includes semiconductor devices that include structures that have been patterned with an etchant of the present invention or in accordance with the method of the present invention. Specifically, the present invention includes semiconductor devices including doped silicon oxide structures with substantially vertical sidewalls and adjacent undoped silicon oxide or silicon nitride structures exposed adjacent the sidewall.
    Type: Grant
    Filed: January 13, 1999
    Date of Patent: September 19, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Kei-Yu Ko, Li Li, Guy T. Blalock
  • Patent number: 6107657
    Abstract: A semiconductor device forming a capacitor through an interlayer insulating layer on a semiconductor substrate on which an integrated circuit is formed. This semiconductor device has an interlayer insulating layer with moisture content of 0.5 g/cm.sup.3 or less, which covers the capacitor in one aspect, and has a passivation layer with hydrogen content of 10.sup.21 atoms/cm.sup.3 or less, which covers the interconnections of the capacitor in other aspect. By thus constituting, deterioration of the capacitor dielectric can be prevented which brings about the electrical reliability of the ferroelectric layer or high dielectric layer.
    Type: Grant
    Filed: May 4, 1998
    Date of Patent: August 22, 2000
    Assignee: Matsushita Electronics Corporation
    Inventors: Koji Arita, Eiji Fujii, Yasuhiro Shimada, Yasuhiro Uemoto, Toru Nasu, Akihiro Matsuda, Yoshihisa Nagano, Atsuo Inoue, Taketoshi Matsuura, Tatsuo Otsuki
  • Patent number: 6097079
    Abstract: An interlevel dielectric and a method for making same wherein boron is introduced into the dielectric though an implantation process. During the implantation process, either the boron-10 or the boron-11 boron isotope may be selected and introduced into the dielectric. Boron is introduced to make the dielectric flow at lower temperatures. Selectively implanting boron-10 or boron-11 during implantation, as opposed to buying boron comprising a specific boron isotope from a supplier and introducing boron during CVD, lowers the production costs. Furthermore, introducing boron into the dielectric during the implantation process as opposed to during deposition of the dielectric during a CVD process, the dielectric layer is free of "boron" bumps. Boron-bearing dielectrics can be made to made to flow at lower temperatures than dielectrics which do not contain boron.
    Type: Grant
    Filed: February 4, 1999
    Date of Patent: August 1, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Tim Z. Hossain, Franklin D. Crawford, Jr., Don A. Tiffin
  • Patent number: 6087705
    Abstract: A process is provided for forming dielectric structures having a relatively low dielectric constant arranged adjacent to the opposed lateral edges of a trench isolation structure. In an embodiment, an opening is etched vertically through a masking layer arranged upon a semiconductor substrate, thereby exposing the surface of the substrate. A patterned photoresist layer is formed upon the masking layer using optical lithography to define the region to be etched. Sidewall spacers made of a low K dielectric material are formed upon the opposed sidewall surfaces of the masking layer within the opening. The sidewall spacers are formed by CVD depositing a dielectric material within the opening and anisotropically etching the dielectric material until only a pre-defined thickness of the material remains upon the masking layer sidewall surfaces. Thereafter, a trench defined between the exposed lateral edges of the sidewall spacers is formed within the substrate.
    Type: Grant
    Filed: November 18, 1998
    Date of Patent: July 11, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford, Jr., Charles E. May
  • Patent number: 6084280
    Abstract: A transistor having a source/drain metal silicide in close proximity to the channel region may be formed according to the following process. A masking structure is formed upon a semiconductor substrate, and a metal is deposited self-aligned to sidewall surfaces of the masking structure. The metal is then annealed to form a metal silicide. Following formation of lightly doped drain impurity areas self-aligned to the sidewall surfaces of the masking structure, spacers may be formed adjacent the sidewall surfaces and source and drain impurity areas may be formed self-aligned to sidewall surfaces of the spacers. Fill structures are then formed adjacent the spacers and the masking structure is removed to form an opening between the spacers. A gate dielectric is formed upon the exposed upper surface of the semiconductor substrate within the opening, and a gate conductor is formed within the opening.
    Type: Grant
    Filed: October 15, 1998
    Date of Patent: July 4, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, Frederick N. Hause, Charles E. May
  • Patent number: 6084288
    Abstract: A fully hermetically sealed semiconductor chip and its method of manufacture. The semiconductor chip of the present invention is fully hermetically sealed on both sides and the edges thereof through the use of suitable coatings applied thereto, such as glass, to prevent an environmental attack of the semiconductor chip. The fully hermetically sealed semiconductor chip of the present invention does not require the use of a separate package for the hermetic sealing of the chip, thereby reducing the size of such a chip. The method of the manufacture of the semiconductor chip of the present invention provides a simple process for the fully hermetic sealing of both sides and the edges of the semiconductor chip without the use of a separate package.
    Type: Grant
    Filed: February 5, 1999
    Date of Patent: July 4, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Warren M. Farnworth, Salman Akram, Alan G. Wood
  • Patent number: 6064094
    Abstract: A protection system for integrated circuits which prevents inadvertent damage caused by over-voltage power surges by extending the passivation layer of an integrated circuit over the bonding pads and placing a ground plane over that passivation layer so as to create an over-voltage switching element out of that combination.
    Type: Grant
    Filed: March 10, 1998
    Date of Patent: May 16, 2000
    Assignee: Oryx Technology Corporation
    Inventors: James Intrater, Kailash Joshi
  • Patent number: 6057604
    Abstract: A technique for forming integrated circuit device contacts includes the formation of nitride spacers along side gate electrodes for LDD definition. In addition, a nitride cap layer is formed over the gate electrodes. When a contact opening is formed through the interlevel oxide dielectric, the nitride cap and sidewall spacers protect the gate electrode from damage and shorting. A highly doped poly plug is formed in the opening to make contact to the underlying substrate. Metalization is formed over the poly plug in the usual manner.
    Type: Grant
    Filed: June 30, 1997
    Date of Patent: May 2, 2000
    Assignee: STMicroelectronics, Inc.
    Inventor: Loi N. Nguyen
  • Patent number: 6034420
    Abstract: Spacings between metal features are gap filled with HSQ without degradation of the electromigration resistance by depositing a conformal dielectric liner encapsulating the metal features before depositing the HSQ gap fill layer. Embodiments include depositing a conformal layer of a high density plasma oxide by high density plasma chemical deposition to a thickness of about 100 .ANG. to about 1,000 .ANG..
    Type: Grant
    Filed: December 18, 1997
    Date of Patent: March 7, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Khanh Tran