With Titanium Nitride Portion Or Region Patents (Class 257/915)
  • Patent number: 10453747
    Abstract: Methods of forming a contact for a semiconductor device with double barrier layer sets, and a device so formed are disclosed. Methods may include: depositing a first metal layer contacting a semiconductor substrate in a contact opening; depositing a first nitride barrier layer on the first metal layer; and annealing after depositing the first nitride barrier layer to form silicide region in a junction area underlying the contact opening with the first metal layer and the semiconductor substrate. After the annealing, a second metal layer may be deposited, followed by a second nitride barrier layer. A conductor is formed in a remaining portion of the contact opening. The double barrier layer sets prevent the formation of volcano defects and also advantageously reduce contact resistance.
    Type: Grant
    Filed: August 28, 2017
    Date of Patent: October 22, 2019
    Assignee: GLOBALFOUNDRIES INC.
    Inventors: Aditya Kumar, Shiv Kumar Mishra, Jean-Baptiste Jacques Laloë, Wen Zhi Gao
  • Patent number: 8883654
    Abstract: The present arrangement provides a method of treating an oxidized layer of metal nitride, including oxidizing a layer (2) of metal oxide at the surface of a first layer (1) of nitride of said metal using a plasma of an oxidizing species with an oxidation number that is greater than that of oxygen in order to form a metallic layer (3) of a compound based on said metal; and reducing the metallic layer (3) formed in step i) using a plasma of hydrogen and nitrogen to form a second layer (4) of nitride of said metal.
    Type: Grant
    Filed: February 29, 2012
    Date of Patent: November 11, 2014
    Assignee: Altis Semiconductor
    Inventors: Michel Aube, Pierre De Person
  • Patent number: 8786087
    Abstract: A semiconductor device is made up of a first insulating layer having a through hole; a first interconnection which includes a first conductive layer, a first barrier layer, and a first main interconnection, and a second interconnection connected to one of the first conductive layer and the first barrier layer. Accordingly, the semiconductor device can avoid a problem where the material of the first main interconnection transfers from a portion connected to the second interconnection due to electromigration to form a void, with the result that the first interconnection is disconnected from the second interconnection.
    Type: Grant
    Filed: July 2, 2010
    Date of Patent: July 22, 2014
    Assignee: Oki Semiconductor Co., Ltd.
    Inventor: Yusuke Harada
  • Patent number: 7732307
    Abstract: A modified TDEAT (tetrakisdiethylamino titanium) based MOCVD precursor for deposition of thin amorphous TiN:Si diffusion barrier layers. The TDEAT is doped with 10 at % Si using TDMAS (trisdimethlyaminosilane); the two liquids are found to form a stable solution when mixed together. Deposition occurs via pyrolysis of the vaporised precursor and NH3 on a heated substrate surface. Experimental results show that we have modified the precursor in such a way to reduce gas phase component of the deposition when compared to the unmodified TDEAT-NH3 reaction. Deposition temperatures were the range of 250-450° C. and under a range of process conditions the modified precursor shows improvements in coating conformality, a reduction in resistivity and an amorphous structure, as shown by TEM and XRD analysis. SIMS and scanning AES have shown that the film is essentially stoichiometric in Ti:N ratio and contains low levels of C (˜0.4 at %) and trace levels of incorporated Si (0.01<Si<0.5 at %).
    Type: Grant
    Filed: June 3, 2005
    Date of Patent: June 8, 2010
    Assignee: Aviza Technology Limited
    Inventors: Stephen Robert Burgess, Andrew Price, Nicholas Rimmer, John MacNeil
  • Patent number: 7473637
    Abstract: The use of atomic layer deposition (ALD) to form a conductive titanium nitride layer produces a reliable structure for use in a variety of electronic devices. The structure is formed by depositing titanium nitride by atomic layer deposition onto a substrate surface using a titanium-containing precursor chemical such as TDEAT, followed by a mixture of ammonia and carbon monoxide or carbon monoxide alone, and repeating to form a sequentially deposited TiN structure. Such a TiN layer may be used as a diffusion barrier underneath another conductor such as aluminum or copper, or as an electro-migration preventing layer on top of an aluminum conductor. ALD deposited TiN layers have low resistivity, smooth topology, high deposition rates, and excellent step coverage and electrical continuity.
    Type: Grant
    Filed: July 20, 2005
    Date of Patent: January 6, 2009
    Assignee: Micron Technology, Inc.
    Inventors: Brenda D Kraus, Eugene P. Marsh
  • Patent number: 7396765
    Abstract: A method of fabricating a liquid crystal display device according to an embodiment of the present invention includes forming first and second conductive layers on a substrate, wherein the first layer is transparent; patterning the second conductive layer and the first conductive layer using the photo-resist pattern as a mask; etching at least one lateral part of the patterned second conductive layer using the photo-resist pattern as a mask; and removing the remaining photo-resist pattern.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 8, 2008
    Assignee: LG Display Co., Ltd.
    Inventors: Dai Yun Lee, Yong In Park
  • Patent number: 7091085
    Abstract: Bottom electrodes of memory cell capacitors are recessed to prevent electrical shorts between neighboring memory cells. A partially fabricated memory cell capacitor has a bottom electrode comprising titanium nitride (TiN) and hemispherical grained (HSG) silicon. The container housing the capacitor is filled with photoresist and then planarized. The TiN layer is then selectively recessed with a peroxide mixture and subsequently the HSG silicon layer is recessed using tetramethyl ammoniumhydroxide. Thus, the bottom electrode is recessed below the level of particles which may overlie the memory cell capacitors and cause shorts by contacting the bottom electrode.
    Type: Grant
    Filed: November 14, 2003
    Date of Patent: August 15, 2006
    Assignee: Micron Technology, Inc.
    Inventor: Kevin R. Shea
  • Patent number: 6812512
    Abstract: This invention is a process for manufacturing a random access memory array. Each memory cell within the array which results from the process incorporates a stacked capacitor, a silicon nitride coated access transistor gate electrode, and a self-aligned high-aspect-ratio digit line contact having a tungsten plug which extends from the substrate to a metal interconnect structure located at a level above the stacked capacitor. The contact opening is lined with titanium metal which is in contact with the substrate, and with titanium nitride that is in contact with the plug. Both the titanium metal and the titanium nitride are deposited via chemical vapor deposition reactions.
    Type: Grant
    Filed: April 10, 2001
    Date of Patent: November 2, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Kirk Prall, Howard E. Rhodes, Sujit Sharan, Gurtel Sandhu, Philip J. Ireland
  • Patent number: 6806572
    Abstract: A new method and structure for an improved contact using doped silicon is provided. The structures are integrated into several higher level embodiments. The improved contact has low contact resistivity. Improved junctions are thus provided between an IGFET device and subsequent metallization layers. The improvements are obtained through the use of a silicon-germanium (Si—Ge) alloy. The alloy can be formed from depositing germanium onto the substrate and subsequently annealing the contact or by selectively depositing the preformed alloy into a contact opening. The above advantages are incorporated with relatively few process steps.
    Type: Grant
    Filed: October 22, 2002
    Date of Patent: October 19, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6787914
    Abstract: An interconnect for a substructure having an opening (470) with a rounded perimetrical top edge (480) includes a titanium nitride layer (150) and a tungsten layer (160). The titanium layer overlies the substructure, extends into the opening, has a substantially columnar grain structure, and is less than 30 nm thick. The tungsten layer overlies/contacts the titanium nitride layer and extends into the opening. A titanium layer (140) normally no more than 36 nm thick is typically situated between the substructure and the titanium nitride layer.
    Type: Grant
    Filed: March 27, 2002
    Date of Patent: September 7, 2004
    Assignee: Mosel Vitelic, Inc.
    Inventor: Vincent Fortin
  • Patent number: 6753618
    Abstract: An MIM capacitor with low leakage and high capacitance is disclosed. A layer of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) material is formed as a lower electrode over an optional capacitance layer of hemispherical grained polysilicon (HSG). Prior to the dielectric formation, the first layer may be optionally subjected to a nitridization or oxidation process. A dielectric layer of, for example, aluminum oxide (Al2O3) formed by atomic layer deposition (ALD) is fabricated over the first layer and after the optional nitridization or oxidation process. An upper electrode of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) is formed over the dielectric layer.
    Type: Grant
    Filed: March 11, 2002
    Date of Patent: June 22, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Cem Basceri, Thomas M. Graettinger
  • Patent number: 6737716
    Abstract: Disclosed is a method of manufacturing a semiconductor device, comprising forming a metal compound film directly or indirectly on a semiconductor substrate, forming a metal-containing insulating film consisting of a metal oxide film or a metal silicate film by oxidizing the metal compound film, and forming an electrode on the metal-containing insulating film.
    Type: Grant
    Filed: January 28, 2000
    Date of Patent: May 18, 2004
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Kouji Matsuo, Tomohiro Saito, Kyoichi Suguro, Shinichi Nakamura
  • Patent number: 6703709
    Abstract: A layered trace configuration comprising a conductive trace capped with a silicide material which allows for removal of oxide polymer residues forming in vias used for interlayer contacts in a multilayer semiconductor device and eliminates or greatly reduces the formation of metal polymer residues in the vias. The formation of an interlayer contact according to one embodiment of the present invention comprises providing a trace formed on a semiconductor substrate and a silicide layer capping the conductive layer. An interlayer dielectric is deposited over the silicide capped trace and the substrate. A via is etched through the interlayer dielectric, wherein the etch is selectively stopped on the silicide layer. Any residue forming in the via is removed and a conductive material is deposited in the via to form the interlayer contact.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: March 9, 2004
    Assignee: Micron Technology, Inc.
    Inventor: Sanh D. Tang
  • Patent number: 6670267
    Abstract: A tungsten-based interconnect is created by first providing a structure with an opening (464/470) in a structure and then rounding the top edge of the opening. A titanium nitride layer (150) is physically vapor deposited to a thickness less than 30 nm, typically less than 25 nm, over the structure and into the opening. Prior to depositing the titanium nitride layer, a titanium layer (140) may be deposited over the structure and into the opening such that the later-formed titanium nitride layer contacts the titanium layer. In either case, the titanium nitride layer is heated, typically to at least 600° C., while being exposed to nitrogen and/or a nitrogen compound. A tungsten layer (160) is subsequently chemically vapor deposited on the titanium nitride layer and into the opening.
    Type: Grant
    Filed: June 13, 2001
    Date of Patent: December 30, 2003
    Assignee: Mosel Vitelic Inc.
    Inventor: Vincent Fortin
  • Patent number: 6667537
    Abstract: A semiconductor device may have an insulating layer comprising a silicon oxide film or the like formed so as to cover an entire upper surface of a semiconductor substrate. A resistance element comprising MoSix is formed on the insulating layer. An insulating film is provided on the surface of the semiconductor substrate above the insulating layer. A through-hole is provided in the insulating film located above the resistance element, and an electrode provided above the insulating film is electrically connected to the resistance element through this through-hole.
    Type: Grant
    Filed: October 26, 1998
    Date of Patent: December 23, 2003
    Assignee: Seiko Epson Corporation
    Inventors: Michio Koike, Yuji Oda
  • Publication number: 20030168750
    Abstract: An MIM capacitor with low leakage and high capacitance is disclosed. A layer of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) material is formed as a lower electrode over an optional capacitance layer of hemispherical grained polysilicon (HSG). Prior to the dielectric formation, the first layer may be optionally subjected to a nitridization or oxidation process. A dielectric layer of, for example, aluminum oxide (Al2O3) formed by atomic layer deposition (ALD) is fabricated over the first layer and after the optional nitridization or oxidation process. An upper electrode of titanium nitride (TiN) or boron-doped titanium nitride (TiBN) is formed over the dielectric layer.
    Type: Application
    Filed: March 11, 2002
    Publication date: September 11, 2003
    Inventors: Cem Basceri, Thomas M. Graettinger
  • Patent number: 6597067
    Abstract: An interconnection wiring structure in an integrated circuit chip designed to eliminate electromigration. The structure includes segments of aluminum interspersed with segments of refractory metal, wherein each aluminum segment is followed by a segment of refractory metal. The aluminum and refractory metal segments are aligned with respect to each other to ensure electrical continuity and to force the electrical current to sequentially cross the aluminum and the refractory metal segments. The above structure can be advantageously enhanced by adding an underlayer, an overlayer or both, all of which are made of refractory metal. The interconnection wire structure described above can be expanded to include vias or studs linking interconnection lines placed at different levels of the IC chip.
    Type: Grant
    Filed: April 17, 1997
    Date of Patent: July 22, 2003
    Assignee: International Business Machines Corporation
    Inventors: Glenn Allen Biery, Daniel Mark Boyne, Hormazdyar Minocher Dalal, H. Daniel Schnurmann
  • Patent number: 6555885
    Abstract: A semiconductor device having a gate electrode structure including at least a metal film and a polysilicon film is disclosed. The polysilicon film of the semiconductor is doped with impurities several times so that an upper portion of the polysilicon film becomes higher in doping level than a lower portion of the same.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: April 29, 2003
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventor: Shigeki Komori
  • Patent number: 6525384
    Abstract: Methods and apparatus for forming word line stacks comprise forming a thin nitride layer coupled between a bottom silicon layer and a conductor layer. In a further embodiment, a diffusion barrier layer is coupled between the thin nitride layer and the bottom silicon layer. The thin nitride layer is formed by annealing a silicon oxide film in a nitrogen-containing ambient.
    Type: Grant
    Filed: August 11, 1998
    Date of Patent: February 25, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Randhir P. S. Thakur, Scott DeBoer
  • Publication number: 20030015795
    Abstract: The holding apparatus is configured to hold one or more semiconductor devices. An added diffusion barrier layer prevents the diffusion of atoms out of a base body of the holding apparatus into a semiconductor layer and therefore into the semiconductor device.
    Type: Application
    Filed: July 18, 2002
    Publication date: January 23, 2003
    Inventor: Andreas Kyek
  • Patent number: 6498378
    Abstract: The invention encompasses integrated circuitry which includes a semiconductive material substrate and a first field effect transistor supported by the substrate. The first field effect transistor comprises a first transistor gate assembly which includes a first layer of conductively doped semiconductive material and only one layer of conductive nitride. The integrated circuitry further comprises a second field effect transistor supported by the substrate. The second field effect transistor comprises a second transistor gate assembly which includes a second layer of conductively doped semiconductor material and at least two layers of conductive nitride. The invention also encompasses a field effect transistor assembly which includes a channel region and an insulative material along the channel region. The transistor assembly further includes a gate stack proximate the channel region. The gate stack includes a first conductive nitride layer separated from the channel region by the insulative material.
    Type: Grant
    Filed: November 7, 2000
    Date of Patent: December 24, 2002
    Assignee: Micron Technology, Inc.
    Inventors: Fernando Gonzalez, Chandra Mouli
  • Patent number: 6483151
    Abstract: One object of the present invention is to suppress a threshold voltage of at least an n-channel MISFET using a nitride of a high melting point metal at it's gate electrode. In order to achieve the object, a gate electrode 109 of a p-channel MISFET is constituted of a titanium nitride film 106 and a tungsten film 107 formed on the film 106 and a gate electrode 110a of an n-channel MISFET is constituted of a titanium nitride film 106a and a tungsten film 107 formed on the film 106a. The titanium nitride film 106a is formed by nitrogen ion implantation in the titanium nitride film 106 to decrease the work function.
    Type: Grant
    Filed: January 4, 2001
    Date of Patent: November 19, 2002
    Assignee: NEC Corporation
    Inventors: Hitoshi Wakabayashi, Yukishige Saito
  • Patent number: 6469388
    Abstract: A new method and structure for an improved contact using doped silicon is provided. The structures are integrated into several higher level embodiments. The improved contact has low contact resistivity. Improved junctions are thus provided between an IGFET device and subsequent metallization layers. The improvements are obtained through the use of a silicon-germanium (Si—Ge) alloy. The alloy can be formed from depositing germanium onto the substrate and subsequently annealing the contact or by selectively depositing the preformed alloy into a contact opening. The above advantages are incorporated with relatively few process steps.
    Type: Grant
    Filed: June 13, 2000
    Date of Patent: October 22, 2002
    Assignee: Micron Technology, Inc.
    Inventor: Randhir P. S. Thakur
  • Patent number: 6433387
    Abstract: Lateral bipolar transistor, in which a thin diffusion barrier (4) is applied to a base region (10) between an emitter region (9) and a collector region (11), and there is present, on said barrier, a base electrode (8) which is provided for low-resistance supply, is connected to a heavily doped base terminal region and consists of polysilicon, for example, into which dopant is diffused out from said base terminal region.
    Type: Grant
    Filed: May 22, 1997
    Date of Patent: August 13, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Martin Kerber
  • Patent number: 6429538
    Abstract: A novel graded composite silicon nitride/silicon oxide (SNO) hard mask, and manufacturing method is achieved. This novel SNO film improves the profile (optical fidelity) of the photoresist etch mask image used to pattern the SNO film, and thereby improves the critical dimensions (CD) for deep submicrometer semiconductor circuits. After forming a stress release layer, such as a pad oxide layer, on a semiconductor substrate, the graded composite Si3N4—SiO2 layer (SNO) is deposited by LPCVD and starting with SiH4 and NH3 as reactant gases. A nitrogen (N) rich film (Si3N4) is formed on the pad oxide layer. During deposition the NH3 flow rate is reduced and N2O is introduced to form a graded silicon oxynitride (SiON) film which has an oxygen-rich film (SiO2) at the top surface of the SNO layer. This SiON film modifies (reduces) the adsorption constant at the surface of the SNO layer.
    Type: Grant
    Filed: August 2, 2001
    Date of Patent: August 6, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Shih-Chi Lin
  • Patent number: 6362526
    Abstract: A semiconductor barrier layer and manufacturing method therefor for copper interconnects which is a tantalum-titanium, tantalum-titanium nitride, tantalum-titanium sandwich. The tantalum in the tantalum-titanium alloy bonds strongly with the semiconductor dielectric, the tantalum-titanium nitride acts as the barrier to prevent diffusion of copper, and the titanium bonds strongly with the copper.
    Type: Grant
    Filed: October 8, 1998
    Date of Patent: March 26, 2002
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, John A. Iacoponi
  • Patent number: 6359296
    Abstract: WSix, with 0.3<x<0.7, is used as material for at least one capacitor electrode. Since this conductive material is amorphous up to 800° C., a diffusion of atoms into the capacitor electrode or out of the capacitor electrode does not occur. This property is significant, since a dielectric of the capacitor contains a ferroelectric. The conductive material can be etched easily, providing thick layers to create the capacitor electrode. To increase the capacitance of the capacitor, given a simultaneous high packing density of the circuit arrangement, the capacitor electrode is created with a large surface area and a small cross-sectional area.
    Type: Grant
    Filed: February 16, 1999
    Date of Patent: March 19, 2002
    Assignee: Siemens Aktiengesellschaft
    Inventor: Josef Willer
  • Patent number: 6348708
    Abstract: A DRAM cell capacitor having a high capacitance is obtained by forming a lower capacitor electrode of TiN and a roughened tungsten film on the TiN layer. A high dielectric constant film, such as tantalum pentaoxide, is then provided on the tungsten film and an upper capacitor electrode is deposited on the dielectric film. A method of forming the roughened tungsten film includes the step of depositing tungsten on the TiN layer at a temperature in the range of 200-650° C.
    Type: Grant
    Filed: July 3, 1996
    Date of Patent: February 19, 2002
    Assignee: LG Semicon Co., Ltd.
    Inventors: Young Jong Lee, Bok Won Cho
  • Patent number: 6337151
    Abstract: A barrier film for a semiconductor device structure. The barrier film includes a compound including nitrogen and at least one of titanium or tantalum, nitrogen in a concentration that varies within the barrier film, and oxygen in a concentration that varies within the barrier film.
    Type: Grant
    Filed: August 18, 1999
    Date of Patent: January 8, 2002
    Assignee: International Business Machines Corporation
    Inventors: Cyprian E. Uzoh, Daniel C. Edelstein, Andrew H. Simon
  • Publication number: 20010045660
    Abstract: A semiconductor device comprising a substrate, a conductor and an insulating film provided on the surface of the substrate, part of the surface of the substrate being electrically connected with the conductor through a contact hole made in the insulating film, wherein a barrier layer present between part of the surface of the substrate and the conductor is provided only on the bottom of the contact hole, and the barrier layer provided on the bottom comprises amorphous titanium silicon nitride.
    Type: Application
    Filed: September 9, 1998
    Publication date: November 29, 2001
    Inventors: KAZUO TSUBOUCHI, KAZUYA MASU, HIDEKI MATSUHASHI
  • Patent number: 6323537
    Abstract: The present invention provides an integrated circuit capacitor comprising a conductive plug comprising a top portion comprising sidewalls, and a bottom portion, wherein the bottom portion of the plug is coated with a material selected from the group consisting of titanium and titanium nitride and wherein the top portion of the plug is substantially not coated with a material selected from the group consisting of titanium and titanium nitride.
    Type: Grant
    Filed: March 29, 1999
    Date of Patent: November 27, 2001
    Assignee: Agere Systems Guardian Corp.
    Inventors: Larry Bruce Fritzinger, Nace Layadi, Sailesh Mansinh Merchant, Pradip Kumar Roy
  • Patent number: 6319616
    Abstract: A method of forming a conductive line structure is provided. An adhesion layer is formed on a substrate surface. A seed layer is formed on the adhesion layer. A conductor is formed on the seed layer to form a partially complete structure. The partially complete structure is exposed to an electrolyte and undergoes an anodization process. At least a portion of the seed layer and a portion of the conductor are transformed to seed layer metal oxide and conductor metal oxide, respectively. At least a portion of the adhesion layer is transformed to an adhesion layer metal oxide and a further portion of the conductor is transformed to the conductor metal oxide.
    Type: Grant
    Filed: October 29, 1999
    Date of Patent: November 20, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Sergey Lopatin, Robin Cheung
  • Publication number: 20010017401
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Application
    Filed: February 6, 2001
    Publication date: August 30, 2001
    Inventor: John T. Moore
  • Patent number: 6255734
    Abstract: A method of forming a copper conductor for a thin film electronic device comprises: forming layers over a conductor into a stack of barrier layer superjacent on top of the substrate, a copper layer on top of the barrier layer, and a hard mask layer on top of the copper layer. The forming a mask on top of the hard mask layer and pattern the stack by etching through the layers down to the substrate on the sides of the mask forming the copper layer into a copper conductor line and leaving sidewalls of the copper conductor line exposed. Grow a copper germanide (Cu3Ge) compound passivation layer is selectively grown only on the sidewalls of the copper conductor line.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: July 3, 2001
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 6242809
    Abstract: Integrated circuit memory devices include a memory cell field effect transistor in an integrated circuit substrate, a conductive plug that electrically contacts the memory cell field effect transistor and a titanium nitride bit line that electrically contacts the conductive plug opposite the memory cell filed effect transistor. Titanium nitride also may be used to electrically contact field effect transistors in the peripheral region of the integrated circuit memory device. Titanium nitride can be used as a bit line metal instead of conventional tungsten, and as a conductive plug to contact both p+-type and n+-type source/drain regions in the peripheral region of the memory device. The titanium nitride conductive plugs and bit lines may be formed simultaneously.
    Type: Grant
    Filed: March 23, 1999
    Date of Patent: June 5, 2001
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Kyu-Pil Lee
  • Patent number: 6239021
    Abstract: An integrated circuit and a method for manufacturing therefor is provided in which a partial dual damascene deposition is performed to place a barrier, seed, and conductive layer in most of a via between two interconnect channels and then capping the via with a further barrier, seed, conductive layer to prevent electromigration between an interconnect channel and the via.
    Type: Grant
    Filed: September 5, 2000
    Date of Patent: May 29, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Dirk Brown, John A. Iacoponi
  • Patent number: 6232656
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: September 1, 1999
    Date of Patent: May 15, 2001
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiki Yabu, Mizuki Segawa
  • Patent number: 6222240
    Abstract: An integrated circuit fabrication process is provided for forming a metal oxide gate dielectric and salicide structures from a unitary layer of refractory metal. The refractory metal layer is placed upon a silicon-based substrate before the formation of the gate conductor. A select portion of the refractory metal layer may thus be oxidized to form a relatively thick gate dielectric having a high &kgr; value. A trench is etched through a masking layer to expose the select portion of the refractory metal layer prior to the oxidation step. A gate conductor may be formed within the trench upon the metal oxide gate dielectric. The masking layer may then be removed from the refractory metal layer. The refractory metal layer is annealed to form metal salicide upon the silicon-based substrate exclusive of underneath the gate dielectric.
    Type: Grant
    Filed: July 22, 1998
    Date of Patent: April 24, 2001
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Mark I. Gardner, H. Jim Fulford
  • Patent number: 6204560
    Abstract: As will be described in more detail hereinafter, there is disclosed herein a titanium nitride diffusion barrier layer and associated method for use in non-silicon semiconductor technologies. In one aspect of the invention, a semiconductor device includes a non-silicon active surface. The improvement comprises an ohmic contact serving to form an external electrical connection to the non-silicon active surface in which the ohmic contact includes at least one layer consisting essentially of titanium nitride. In another aspect of the invention, a semiconductor ridge waveguide laser is disclosed which includes a semiconductor substrate and an active layer disposed on the substrate. A cladding layer is supported partially on the substrate and partially on the active layer. The cladding layer includes a ridge portion disposed in a confronting relationship with the active region.
    Type: Grant
    Filed: April 20, 1998
    Date of Patent: March 20, 2001
    Assignee: Uniphase Laser Enterprise AG
    Inventors: Andreas Daetwyler, Urs Deutsch, Christoph Harder, Wilhelm Heuberger, Eberhard Latta, Abram Jakubowicz, Albertus Oosenbrug, William Patrick, Peter Roentgen, Erica Williams
  • Patent number: 6184571
    Abstract: A method and apparatus for endpointing a planarization process of a microelectronic substrate. In one embodiment, the microelectronic substrate can include a semiconductor base, a first material, such as an oxide, disposed on the base, a second material, such as a nitride, disposed on the first material to stiffen the first material, and an endpointing material, such as polysilicon, disposed on the second material. The endpointing material can have a hardness and/or a fracture resistance that is less than a hardness and/or a fracture resistance of the stiffening material and, in one embodiment, can have a coefficient of friction that is different than surrounding material of the microelectronic substrate so as to be detected when exposed to a planarizing medium.
    Type: Grant
    Filed: October 27, 1998
    Date of Patent: February 6, 2001
    Assignee: Micron Technology, Inc.
    Inventor: John T. Moore
  • Patent number: 6157087
    Abstract: Provided is a method and composition for protecting alignment mark trench walls from attack by CMP slurry accumulating in an alignment mark trench during CMP processing. In a preferred embodiment, a metal organic chemical vapor deposition titanium nitride (MOCVDTiN) layer is deposited over a conventionally applied bulk tungsten layer prior to commencing CMP operations. This MOCVDTiN layer is resistant to CMP slurry attack. As a result, the tungsten trench profile remains a consistent and reliable alignment mark.
    Type: Grant
    Filed: April 12, 1999
    Date of Patent: December 5, 2000
    Assignee: LSI Logic Corporation
    Inventors: Joe W. Zhao, Shumay X. Dou, Keith K. Chao
  • Patent number: 6150720
    Abstract: In a wiring forming method according to the present invention, an insulating layer is formed on a semiconductor substrate, and contact holes are formed in the insulating layer. A titanium layer is deposited on the insulating layer so as to be along inner surfaces of the contact holes. A first titanium nitride layer is formed on the titanium layer including the titanium layer formed in the contact holes. The deposition of the first titanium nitride layer is carried out under atmosphere which substantially includes no oxygen. A titanium oxynitride layer is deposited on the first titanium nitride layer. A second titanium nitride layer is deposited on the titanium oxynitride layer. Buried plugs are formed on the second titanium nitride layer formed in the contact holes. A wiring connected to the buried plugs are formed on the insulating layer. A barrier metal layer and the buried plugs are thus formed in the contact holes. According to such the structure, a stable electric contact can be obtained.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: November 21, 2000
    Assignee: Yamaha Corporation
    Inventors: Takahisa Yamaha, Tetsuya Kuwajima
  • Patent number: 6150689
    Abstract: The sheet resistance of a gate electrode 8A (a word line) of memory cell selection MISFET Q of a DRAM and a sheet resistance of bit lines BL.sub.1, BL.sub.2 are, respectively, 2 .OMEGA./.quadrature. or below. Interconnections of a peripheral circuit are formed during the step of forming the gate electrode 8A (the word line WL) or the bit lines BL.sub.1, BL.sub.2 by which the number of the steps of manufacturing the DRAM can be reduced.
    Type: Grant
    Filed: January 13, 1997
    Date of Patent: November 21, 2000
    Assignee: Hitachi, Ltd.
    Inventors: Seiji Narui, Tetsu Udagawa, Kazuhiko Kajigaya, Makoto Yoshida
  • Patent number: 6147404
    Abstract: An integrated circuit and a method for manufacturing therefor is provided in which a partial dual damascene deposition is performed to place a barrier, seed, and conductive layer in most of a via between two interconnect channels and then capping the via with a further barrier, seed, conductive layer to prevent electromigration between an interconnect channel and the via.
    Type: Grant
    Filed: May 24, 1999
    Date of Patent: November 14, 2000
    Assignee: Advanced Micro Devices, Inc.
    Inventors: Shekhar Pramanick, Dirk Brown, John A. Iacoponi
  • Patent number: 6143657
    Abstract: A via is formed between a copper conductor and a second copper conductor in a thin film electronic device with a copper plug interconnecting the copper conductor and the second copper conductor. Form a stop layer over the first copper conductor and a dielectric layer over the stop layer. Pattern the dielectric and etch stop layers by etching a hole therethrough down into a copper conductor leaving an exposed surface of the copper conductor and exposed sidewalls of the dielectric layer and the etch stop layer. Grow a copper germanide (Cu.sub.3 Ge) compound, thin film at the base of the hole on the exposed surface of the copper conductor from exposure to germane GeH.sub.4 gas. Form a barrier layer over the copper germanide (Cu.sub.3 Ge) compound, thin film, the dielectric layer and the first copper conductor. The barrier layer forms a via hole in the hole. Form a second copper conductor including the copper plug over the barrier layer, the copper plug filling the narrow via hole.
    Type: Grant
    Filed: January 4, 1999
    Date of Patent: November 7, 2000
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventors: Chung-Shi Liu, Chen-Hua Yu
  • Patent number: 6130481
    Abstract: A semiconductor integrated circuit structure includes a semiconductor substrate; an electronic element disposed in the substrate; a first electrically insulating layer disposed on the substrate and the electronic element; a first electrically conducting interconnection layer electrically connected to the electronic element and disposed at least partly on the first electrically insulating layer; a second electrically insulating layer disposed on the first electrically conducting interconnection layer; a second electrically conducting interconnection layer disposed on the second electrically insulating layer; and a through-hole penetrating the second electrically insulating layer to the first electrically conducting interconnection layer, part of the second interconnection layer being disposed within the through-hole and contacting the first electrically conducting interconnection layer wherein the first electrically conducting interconnection layer includes a current barrier including at least one opening in t
    Type: Grant
    Filed: October 28, 1997
    Date of Patent: October 10, 2000
    Assignee: Mitsubishi Denki Kabushiki Kaisha
    Inventors: Shigeru Harada, Kenji Kishibe, Akira Ihisa, Hiroshi Mochizuki, Eisuke Tanaka
  • Patent number: 6124621
    Abstract: A structure of a spacer in a semiconductor device is disclosed. Firstly, a gate without a spacer is provided on a substrate. A first insulating layer is formed on the sidewall of the gate. After a lightly doped drain is subsequently achieved in the substrate, a second insulating layer is formed on the first spacer. The process following this embodiment described above is to form a heavily doped drain in the substrate, then the whole MOSFET fabrication is completed. The present invention can enhance the stability of resistance of the gate and reduce pollution of the machine. Therefore, quality and efficiency of the fabrication of MOSFET will be enhanced.
    Type: Grant
    Filed: May 19, 1999
    Date of Patent: September 26, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Yung-Chang Lin, Jih-Wen Chou, Tung-Po Chen
  • Patent number: 6120915
    Abstract: Methods of forming refractory metal silicide components are described. In accordance with one implementation, a refractory metal layer is formed over a substrate. A silicon-containing structure is formed over the refractory metal layer and a silicon diffusion restricting layer is formed over at least some of the silicon-containing structure. The substrate is subsequently annealed at a temperature which is sufficient to cause a reaction between at least some of the refractory metal layer and at least some of the silicon-containing structure to at least partially form a refractory metal silicide component. In accordance with one aspect of the invention, a silicon diffusion restricting layer is formed over or within the refractory metal layer in a step which is common with the forming of the silicon diffusion restricting layer over the silicon-containing structure.
    Type: Grant
    Filed: February 4, 1998
    Date of Patent: September 19, 2000
    Assignee: Micron Technology, Inc.
    Inventors: Yongjun Hu, Jigish D. Trivedi
  • Patent number: RE39932
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: May 15, 2003
    Date of Patent: December 4, 2007
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Toshiki Yabu, Mizuki Segawa
  • Patent number: RE41980
    Abstract: A plurality of metal wires are formed on an underlying interlayer insulating film. Areas among the metal wires are filled with a buried insulating film of a silicon oxide film with a small dielectric constant (i.e., a first dielectric film), and thus, a parasitic capacitance of the metal wires can be decreased. On the buried insulating film, a passivation film of a silicon nitride film with high moisture absorption resistance (i.e., a second dielectric film) is formed, and thus, a coverage defect can be avoided. A bonding pad is buried in an opening formed in a part of a surface protecting film including the buried insulating film and the passivation film, so as not to expose the buried insulating film within the opening. Thus, moisture absorption through the opening can be prevented.
    Type: Grant
    Filed: November 19, 2007
    Date of Patent: December 7, 2010
    Assignee: Panasonic Corporation
    Inventors: Toshiki Yabu, Mizuki Segawa