Having Predetermined Light Transmission Regions (e.g., Holes, Aperture, Multiple Material Articles) Patents (Class 356/237.6)
  • Patent number: 11891597
    Abstract: An automatic processing device of culture plates (2) for microbiological samples, wherein the processing device (1) includes a support frame (3); a slide (4) provided with a seating (5) configured for removably housing a culture plate (2) and movably mounted on the support frame (3) so as to be selectively displaceable between a first loading position, a plurality of image-acquiring positions, and a first unloading; a camera (6) of a linear type, provided with an optic (7) of a telecentric type and a trilinear sensor, and arranged according to a vertical axis (8) such as to acquire, at an image-acquiring zone, a multiplicity of linear images of corresponding linear portions of an upper surface of the culture plate (2), during the displacing of the slide (4); a first lighting device (11) orientated such as to illuminate the linear portions of an upper surface of the culture plate (2); an advancing device (14) of the slide (4) configured such as to enable obtaining a constant and substantially vibration-free ad
    Type: Grant
    Filed: December 28, 2020
    Date of Patent: February 6, 2024
    Assignee: Copan Italia S.p.A.
    Inventor: Daniele Triva
  • Patent number: 11761908
    Abstract: Inspection methods, apparatuses, and techniques are described herein for identifying defects in a plugged honeycomb body (100). The inspection apparatuses and methods herein utilize reduced distortion imaging to identify the defects in the plugged honeycomb body. Backlit and/or directly illuminated images (by e.g. first light source 308) of the plugged honeycomb body (100) can be captured (by e.g. camera 302) and analyzed to align (by e.g. actuators 306) the plugged honeycomb body (100) in an imaging apparatus and to identify the defects.
    Type: Grant
    Filed: May 20, 2020
    Date of Patent: September 19, 2023
    Assignee: Corning Incorporated
    Inventors: Julie Marie Daugherty, Joshua Adam Jamison, Russel Wayne Madara, Duane Otis Sellers, Eric Daniel Treacy, Xiaotian Zou
  • Patent number: 11499921
    Abstract: A method for inspecting a pillar-shaped honeycomb structure includes steps of: capturing a pattern of reflected light from an end face with a camera and generating an image data of the pattern of the reflected light; distinguishing positional information of each of cells adjacent to an outer peripheral side wall and cells that are not adjacent to the outer peripheral side wall based on the image data of the pattern of the reflected light, and storing the distinguished positional information in a memory; capturing a pattern of transmitted light from the end face with the camera and generating an image data of the pattern of the transmitted light; measuring intensity of each transmitted light from the cells adjacent to the outer peripheral side wall to detect the cells having defective plugged portions that are adjacent to the outer peripheral side wall based on the generated image data of the pattern of the transmitted light and the positional information; and measuring intensity of each transmitted light from
    Type: Grant
    Filed: March 17, 2021
    Date of Patent: November 15, 2022
    Assignee: NGK Insulators, Ltd.
    Inventors: Ryota Kurahashi, Yoshihiro Sato, Takafumi Terahai
  • Patent number: 11320334
    Abstract: One exemplary embodiment of this disclosure relates to a method of inspecting a component of a gas turbine engine. The method includes performing a through-hole inspection, and determining a location of the plurality of holes from results of the through-hole inspection.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: May 3, 2022
    Assignee: RAYTHEON TECHNOLOGIES CORPORATION
    Inventor: James M. Koonankeil
  • Patent number: 11287389
    Abstract: An apparatus and method to align ceramic honeycomb bodies. The apparatus includes a light source to direct light toward a first end of a ceramic honeycomb body, a lens to receive at least a portion of the light directed to the first end of the ceramic honeycomb body, an imaging device to capture an image of the received light, wherein the image comprises a portion of a side surface of the honeycomb body. The apparatus comprises a controller configured to receive the captured image, to analyze the captured image based on the portion of the side surface, to adjust the ceramic honeycomb body and/or the lens based on the analysis to align the ceramic honeycomb body and the lens optical axis.
    Type: Grant
    Filed: July 21, 2017
    Date of Patent: March 29, 2022
    Assignee: Corning Incorporated
    Inventors: Russell Wayne Madara, Eric Daniel Treacy, Cynthia Leigh Wida, Xiaotian Zou
  • Patent number: 11119034
    Abstract: The invention relates to a system (15) for observing a plate (10) including wells (20), including, for each well (20): a source (40) comprising a light-emitting diode (60) capable of producing a light ray, a pinhole (70), and a light integrator (65), an optical sensor (185) able to collect the optical signal from the well (20), the system (15) being such that: a ratio between the length and the average transverse dimension (Dt) of each light integrator (65) is greater than or equal to 2.2, or at least one optical axis is off-centered relative to the propagation line, the ratio between the length and the average transverse dimension of the integrator being greater than or equal to 1.5.
    Type: Grant
    Filed: May 10, 2017
    Date of Patent: September 14, 2021
    Assignee: COMMISSARIAT À L'ÉNERGIE ATOMIQUE ETAUX ÉNERGIES ALTERNATIVES
    Inventor: Vincent Haguet
  • Patent number: 10748273
    Abstract: Evaluating a design of a configurable inspection station for inspecting a workpiece, wherein the design of the configurable inspection station has a plurality of changeable parameters and including a computer vision system or another system that can iteratively change an instance of one of the parameters.
    Type: Grant
    Filed: November 15, 2018
    Date of Patent: August 18, 2020
    Assignee: The Gillette Company LLC
    Inventors: Brian Joseph Woytowich, Lucy Lin, Gregory David Aviza
  • Patent number: 10732124
    Abstract: Example embodiments relate to methods for detecting defects of a lithographic pattern. One example embodiment includes a method for detecting defects of a lithographic pattern on a semiconductor wafer that includes a plurality of die areas. Each of the die areas has a region of interest (ROI) that includes a plurality of features forming the lithographic pattern. The method includes acquiring an image of at least one of the ROIs. The method also includes removing features touching an edge of the image. Further, the method includes counting a number of remaining features in the image.
    Type: Grant
    Filed: September 7, 2018
    Date of Patent: August 4, 2020
    Assignee: IMEC VZW
    Inventors: Sandip Halder, Philippe Leray
  • Patent number: 10690491
    Abstract: A method of inspecting a part includes manufacturing a standoff fixture specific to the part, coupling the standoff fixture to a laser measurement device, engaging the standoff fixture with the part, and actuating the laser measurement device to detect a geometric specification of a feature of the part, according to various embodiments. The standoff fixture may include an attachment portion configured to be coupled to the laser measurement device and a plurality of legs extending from the attachment portion.
    Type: Grant
    Filed: March 27, 2019
    Date of Patent: June 23, 2020
    Assignee: RAYTHEON TECHNOLOGIES CORPORATION
    Inventor: Gordon A. Perrett
  • Patent number: 9996766
    Abstract: Imaging-based methods for detecting defects in an extruded cellular ceramic article having a web array are disclosed. The methods may include capturing a digital image of the web array to establish an intensity-based initial web array representation. The methods also may include performing an intensity threshold process on the initial web array representation to define an intensity-based high-resolution web array representation having sub-pixel resolution, and performing piecewise cubic spline fits to define corresponding web skeletons. The method may also include comparing intensities of the high-resolution web array representation along the web skeletons to a threshold intensity to determine the defect locations and sizes. The methods can be applied to determining the size and location of skin defects as well.
    Type: Grant
    Filed: April 27, 2016
    Date of Patent: June 12, 2018
    Assignee: Corning Incorporated
    Inventors: DiRisio Carlo Richard, Frey Julie Charmaine, Gehl Kristine Sluder, Russell Wayne Madara, Hak Chuah Sim, Yeqing Zhang
  • Patent number: 9609194
    Abstract: A camera (10) for the detection of objects (30) in a detection region (16) is provided which has a light receiver (22) having a plurality of light reception pixels, a multispectral illumination unit (12) for illuminating the detection region (16) in different spectral bands and an evaluation unit (24) that is configured to illuminate the detection region (16) by means of the illumination unit (12) during different illumination windows of time (30a-c) in a respectively different spectral band and to record image data from the detection region (16) by means of the light receiver (22) in recording windows of time (40a-c, 42a-c). In this respect, the light receiver (22) is configured as a two-line receiver having a first line (22a) of light reception pixels and a second line (22b) of light reception pixels and the recording window of time (40a-c, 42a-c) for the first line (22a) is different from that for the second line (22b).
    Type: Grant
    Filed: October 23, 2015
    Date of Patent: March 28, 2017
    Assignee: SICK AG
    Inventors: Christoph Egger, Richard Nopper, Jurgen Reichenbach
  • Patent number: 9577234
    Abstract: The invention relates to a separator material (6) for forming a separator for a lead-acid accumulator, especially in the form of unfinished rolled product, and a method for the production thereof. The inventive separator material (6) comprises a first layer in the form of a microporous film (1) and at least one second layer in the form of a planar fleece material (7). At least one face of the microporous film (1), which is made of a thermoplastic material, is provided with a number of protrusions (2, 2?) defining an area with an increased film thickness on a basic film sheet. The fleece material (7) is welded to the film (1) by means of ultrasonic welding in such a way that the planar fleece material (7) is located at least at the level of the surface of the basic film sheet without invading the same in the area of the welded joints (8).
    Type: Grant
    Filed: June 11, 2004
    Date of Patent: February 21, 2017
    Assignee: Daramic, LLC
    Inventors: Daniel Dreyer, Jean-Martial Caublot
  • Patent number: 8941823
    Abstract: A surface inspection device for a cylindrical body includes an illumination light source disposed above the cylindrical body, a beam splitter disposed above the cylindrical body so as to correspond to the illumination light source, and a surface condition recognition device disposed above the beam splitter. Illumination light emitted from the illumination light source is reflected by the beam splitter and applied coaxially to the surface of the cylindrical body, and the reflected light reflected by the surface of the cylindrical body transmits through the beam splitter to be recognized by the surface condition recognition device. The device is configured such that the illumination light from the illumination light source is applied from one end side of the cylindrical body in the axial direction toward, the other end side so as to be in parallel to the axial direction.
    Type: Grant
    Filed: June 18, 2010
    Date of Patent: January 27, 2015
    Assignee: Showa Denko K.K.
    Inventors: Katsunori Wakatake, Hiroyasu Takahashi
  • Patent number: 8937714
    Abstract: Provided is a method wherein a multi-anode detector is used for the purpose of detecting scattered light from a wafer, data obtained from the detector (multi-anode) for detecting defects is used, the shape of a beam radiated to the wafer, a rotational shift between the radius direction and the beam long side, and the like are calculated, and the optical axis of the irradiation beam is adjusted. Furthermore, the method is provided with a technique which feeds back the correction quantities for rotation and amplitude to inspection signal data, on the basis of the correction data, and corrects inspection data. Since fine correction with the adjustment of an optics system and signal processing is made possible, positional accuracy of defect inspection and accuracy of defect level (defect size) are improved.
    Type: Grant
    Filed: December 8, 2010
    Date of Patent: January 20, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kimiaki Ando, Hiroshi Kikuchi, Yuji Inoue
  • Patent number: 8854613
    Abstract: A surface defect inspection apparatus includes a light source that emits light to a first position on a surface of a target at an angle inclined with respect to the surface of the target, a first photodetector that detects first reflected light of the light from the light source, the first reflected light being reflected at the first position, a second photodetector that detects second reflected light of the light from the light source, the second reflected light being reflected at a second position, the second position being closer to the light source than the first position and being separated from the surface of the target by a given distance, and a determining unit that determines whether or not foreign matter is present on the surface of the target on a basis of detection results obtained from the first photodetector and the second photodetector.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: October 7, 2014
    Assignee: Fujitsu Limited
    Inventor: Katsumi Fujihara
  • Publication number: 20140268122
    Abstract: A defect inspection method and device for irradiating a linear region on a surface-patterned sample mounted on a planarly movable table, with illumination light from an inclined direction relative to a direction of a line normal to the sample, next detecting in each of a plurality of directions an image of the light scattered from the sample irradiated with the illumination light, then processing signals obtained by the detection of the images of the scattered light, and thereby detecting a defect present on the sample; wherein the step of detecting the scattered light image in the plural directions is performed through elliptical lenses in which elevation angles of the optical axes thereof are different from each other, within one plane perpendicular to a plane formed by the normal to the surface of the table on which to mount the sample and the longitudinal direction of the linear region irradiated with the irradiation light, the elliptical lenses being formed of circular lenses having left and right portio
    Type: Application
    Filed: June 28, 2012
    Publication date: September 18, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Shunichi Matsumoto, Atsushi Taniguchi, Toshifumi Honda, Yukihiro Shibata, Yuta Urano
  • Patent number: 8830458
    Abstract: A measurement system is provided to measure a hole of a target, including a light source generation unit, a capturing unit and a processing unit. The light source generation unit generates a light source and focuses the light source on a plurality of different height planes. The capturing unit captures a plurality of images scattered from the plurality of different height planes. The processing unit obtains boundaries of the hole on the plurality of different height planes according to the plurality of images, samples image intensities of different azimuth angles on the boundaries of the hole on each of the plurality of different height planes to generate a plurality of sampling values, and develops a sidewall image of the hole according to the plurality of sampling values, the plurality of different height planes and the different azimuth angles.
    Type: Grant
    Filed: January 28, 2013
    Date of Patent: September 9, 2014
    Assignee: Industrial Technology Research Institute
    Inventors: Deh-Ming Shyu, Yi-Sha Ku
  • Patent number: 8804111
    Abstract: In one embodiment, a surface inspection system comprises a radiation source that emits a broadband radiation beam, a radiation directing assembly to target radiation onto a surface of an object, the radiation directing assembly comprising a radiation collection assembly to collect radiation reflected from the surface of the object, the radiation collection assembly comprising at least one multi-chip detector array assembly positioned within a field of view of the inspection system.
    Type: Grant
    Filed: October 2, 2008
    Date of Patent: August 12, 2014
    Assignee: KLA-Tencor Corporation
    Inventor: Boris Golovanevsky
  • Patent number: 8786851
    Abstract: A system for testing a distortion of a liquid crystal display (LCD) device can test the LCD device within a chamber in a state of being assembled perpendicular to the ground, whereby whether any distortion occurs in an internal component can be fast detected even in severe conditions of high temperature and high humidity and under an actual installation environment of a user.
    Type: Grant
    Filed: December 30, 2009
    Date of Patent: July 22, 2014
    Assignee: LG Display Co., Ltd.
    Inventors: Gi-Bin Kim, Tae-Woo Kim, Na-Young Ha
  • Patent number: 8780358
    Abstract: Systems, apparatuses, methods, and computer program products for inspection of objects or items in a conveyance system. Presence (or absence) of an object/item or objects/items is detected sensed and also one or more characteristics of the object/item can be determined based image detection of characteristics of one or more laser lines projected on a conveyance surface of the conveyance system.
    Type: Grant
    Filed: June 7, 2012
    Date of Patent: July 15, 2014
    Assignee: Sick, Inc.
    Inventors: Robert L. Stone, Mohammed T. Islam, Patrick L. Mohney, Steven T. Smith
  • Patent number: 8755052
    Abstract: A shape-measuring method accurately performs fitting between measured data of a surface to be measured, which is formed based on a design shape having multiple periodical design-level differences, and a design shape. A level-difference region and a level-difference height are specified from a measured point sequence of the surface to be measured. A point sequence is moved by a level-difference height. In other words, a process for eliminating the level difference is performed, and fitting target data without a level difference is obtained. On the other hand, a reference shape without multiple design-level differences is obtained from the design shape. Fitting between the fitting target data and the reference shape is performed by the least square method or the like.
    Type: Grant
    Filed: December 8, 2011
    Date of Patent: June 17, 2014
    Assignee: Canon Kabushiki Kaisha
    Inventors: Masayuki Ono, Akinori Miyata
  • Patent number: 8736832
    Abstract: The present invention provides a detection method which allows specific defects that would occur on a wafer surface to be detected more reliably. A method of detecting a specific defect of the present invention includes the steps of: acquiring a light point map which is in-plane position information of a light point detected in a position corresponding to a defect on a surface of a wafer by irradiating the surface of the wafer with light (S101); specifying a determination region where a specific defect is expected to be formed and a reference region which is a given region other than the determination region in the light point map, and calculating a ratio of a light point density of the determination region to a light point density of the reference region (S102); and determining whether or not the specific defect is formed based on the calculated ratio (S103).
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: May 27, 2014
    Assignee: Sumco Corporation
    Inventor: Takeshi Funada
  • Patent number: 8730464
    Abstract: A method of inspecting a substrate is disclosed. The method of inspecting a substrate, comprises: obtaining phase data per projecting part with regard to a substrate, by projecting pattern beam onto the substrate having a target object formed thereon through a plurality of projecting parts in sequence; obtaining height data per projecting part with regard to the substrate by using the phase data per the projecting part; setting up a projecting part with highest reliability in the a plurality of projecting parts to be a reference projecting part; modifying height data of remaining projecting part, referenced by height data of the reference projecting part; and obtaining integrated height data by using the modified height data.
    Type: Grant
    Filed: November 18, 2011
    Date of Patent: May 20, 2014
    Assignee: Koh Young Technology Inc.
    Inventor: Joong-Ki Jeong
  • Patent number: 8699037
    Abstract: An apparatus is provided for inspecting holes in components. The apparatus includes a telecentric lens system positionable at a near end of a hole with an optical axis of the lens system aligned with the axis of the hole to image the interior of the hole. The apparatus further includes an illumination system for illuminating the interior of the hole. The apparatus further includes a camera arranged to receive an image of the illuminated interior of the hole from the telecentric lens system. The illumination system includes a light source for producing a beam of parallel light, and optics for directing the produced beam through the telecentric lens system along the optical axis thereof, and through the hole. The beam reaches the far end of the hole, and is reflected from a surface located at or adjacent the far end of the hole to illuminate the interior of the hole.
    Type: Grant
    Filed: October 4, 2011
    Date of Patent: April 15, 2014
    Assignee: Rolls-Royce PLC
    Inventor: Peter J. Cox
  • Patent number: 8654349
    Abstract: A method and a device for determining a topography under load of the surface of a material, wherein a test piece (40) of the material intended to be determined is subjected to a compression with a determined load between a first and a second clamping surface (7, 27), after which, in a compressed state, at least one representation is made of surface portions of the material that are in contact with at least one of said clamping surfaces (7, 27), and that the representation is evaluated. The compression is controlled in respect of its speed for obtaining said predetermined load, and said at least one representation is made at a chosen point in time or chosen points in time during this process.
    Type: Grant
    Filed: May 21, 2008
    Date of Patent: February 18, 2014
    Assignee: Fibro System AB
    Inventor: Bernt Bostrom
  • Patent number: 8644587
    Abstract: A method of detecting optical defects in a transparency may comprise the steps of providing a digital image of the transparency having a plurality of image pixels and detecting at least one candidate defect. The candidate defect may be detected by determining a grayscale intensity of each one of the image pixels and calculating an intensity gradient across adjacent pairs of the image pixels. Each image pixel may be assigned a gradient value comprising a maximum of the absolute value of the intensity gradients associated with the image pixel. A gradient image may be constructed comprising the gradient values assigned to corresponding ones of the image pixels. Image pixels may be identified as candidate pixels if such image pixels have a gradient value exceeding a gradient threshold. The candidate pixels may comprise the optical defect.
    Type: Grant
    Filed: December 15, 2012
    Date of Patent: February 4, 2014
    Assignee: The Boeing Company
    Inventors: Ronald L. Bookout, Michael P. Gleason, Matthew M. Thomas, Michael S. Dixon, Robert Pless, William D. Smart
  • Patent number: 8614790
    Abstract: An optical inspection system for inspecting a patterned sample located in an inspection plane includes an illumination unit defining an illumination path, and a light collection unit defining a collection path, each path having a certain angular orientation with respect to the inspection plane. The illumination unit comprises an illumination mask located in a first spectral plane with respect to the inspection plane and the light collection unit comprises a collection mask located in a second spectral plane with respect to the inspection plane being conjugate to the first spectral plane. Arrangements of features of the first and second patterns are selected in accordance with a diffraction response from said patterned sample along a collection channel defined by the angular orientation of the illumination and collection paths.
    Type: Grant
    Filed: December 12, 2011
    Date of Patent: December 24, 2013
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Yoav Berlatzky, Ido Kofler, Doron Meshulach, Kobi Barkan
  • Patent number: 8600148
    Abstract: A device for inspecting the external surfaces of containers using a radiation device which directs light onto a container to be inspected. The device includes a transport device for transporting the container with respect to the radiation device, and an image capturing device which captures the container illuminated by the radiation device, with a background being located behind the container with respect to the image capturing device, with respect which the container can be imaged. The background has both a lighter area and a darker area by comparison with this lighter area.
    Type: Grant
    Filed: December 24, 2009
    Date of Patent: December 3, 2013
    Assignee: Krones AG
    Inventor: Rainer Kwirandt
  • Patent number: 8582102
    Abstract: An embodiment provides a thin film transistor liquid crystal display (TFT-LCD) array substrate comprising a substrate and multilayer array patterns formed on the substrate, and a detecting mark, which is used to detect the size or alignment deviation of one array pattern among the multilayer array patterns and provided in a region of the substrate where the multilayer array patterns are not provided. The detecting mark comprises a detecting area and a detecting pattern which is provided in the same layer as the array pattern to be detected, the detecting pattern is located within the detecting area, and the detecting pattern has transmissivity or reflectivity different from that of the remaining area in the detecting area other than the detecting pattern.
    Type: Grant
    Filed: December 30, 2010
    Date of Patent: November 12, 2013
    Assignee: Beijing Boe Optoelectronics Technology Co., Ltd.
    Inventors: Jian Guo, Weifeng Zhou, Xing Ming
  • Patent number: 8537349
    Abstract: Systems and methods for monitoring time-varying classification performance are disclosed.
    Type: Grant
    Filed: June 23, 2010
    Date of Patent: September 17, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Patrick Huet, Brian Duffy, Martin Plihal, Thomas Trautzsch, Chris Maher
  • Patent number: 8532364
    Abstract: Apparatus for inspecting a semiconductor wafer (8) has a plurality of light sensors (2) arranged relative to a light source (1) and wafer inspection platform (4), so that images of different angle views of a surface of the wafer can be received and compared with corresponding images taken of a reference wafer to automatically detect defects based on image comparison. The light sensors (2) may receive superposed images of light (7) reflected directly from the light source (1) off the wafer surface and light (6) indirectly reflected off the wafer surface after first reflecting off a dome (3) with a diffusely reflecting inner surface (5) positioned over the platform (4).
    Type: Grant
    Filed: February 11, 2010
    Date of Patent: September 10, 2013
    Assignee: Texas Instruments Deutschland GmbH
    Inventors: Alexander Urban, Peter Schaeffler, Andreas Pfeiffer, Holger Schwekendiek
  • Patent number: 8520201
    Abstract: An optical signal inspection device includes a housing, a diagnostic unit, an optical specimen holder, a light-shielding module, and a guiding unit. A receiving space is defined internally of the housing. The housing has an optical fiber holding area formed thereon. The optical specimen holder has an upper jaw member and a lower jaw member. The light shielding module has a main body and two lateral shielding members disposed thereon. Two side portions of the lower jaw member are formed matchingly to the lateral shielding members. The guiding unit is secured to the upper or lower jaw member. When the upper and lower jaw members are used to clamp the optical fiber for inspection, interference due to ambient lighting can be prevented by the light shielding module. Thus, quick and accurate inspection results can be obtained by the user.
    Type: Grant
    Filed: July 18, 2011
    Date of Patent: August 27, 2013
    Assignee: Hobbes & Co., Ltd.
    Inventor: Yen-Chang Huang
  • Patent number: 8494802
    Abstract: Computer-implemented methods, computer-readable media, and systems for determining one or more characteristics of a wafer are provided.
    Type: Grant
    Filed: June 19, 2009
    Date of Patent: July 23, 2013
    Assignee: KLA-Tencor Corp.
    Inventors: Haiguang Chen, Daniel Kavaldjiev, Louis Vintro, George Kren
  • Publication number: 20130176559
    Abstract: A luminous flux branching element includes a transparent base member arranged diagonally to an optical axis and having an incidence plane and an emission plane parallel to each other. Incident light from the incidence plane is split into a main luminous flux emitted from an emission position on the emission plane and a branched luminous flux emitted from a branch position apart from the emission position and having a smaller light quantity than of the main luminous flux. A reflecting member is arranged on the incidence plane to cause the incidence plane to reflect reflected light from the emission plane. A non-coat region in which antireflection-treatment is not performed is formed in a region of the emission plane where the incident light from the incidence plane is reached, and antireflection-treatment is performed in the emission plane excluding the non-coat region and the incidence plane.
    Type: Application
    Filed: January 9, 2013
    Publication date: July 11, 2013
    Applicant: NuFlare Technology, Inc.
    Inventor: NuFlare Technology, Inc.
  • Patent number: 8428337
    Abstract: A method and apparatus for wafer inspection is disclosed. The method and apparatus involve directing light substantially along a first axis towards a first surface of a wafer to thereby obtain light emanating along the first axis from a second surface of the wafer, wherein the first and second surfaces of the wafer are substantially outwardly opposing and substantially extending parallel to a plane. The method and apparatus further involve directing light substantially along a second axis towards the first surface of the wafer to thereby obtain light emanating along the second axis from the second surface of the wafer, the first axis being angled away from the second axis about a reference axis extending along the plane.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: April 23, 2013
    Assignee: Bluplanet Pte Ltd
    Inventor: Sok Leng Chan
  • Patent number: 8422009
    Abstract: In a foreign matter inspection apparatus comprising: irradiating unit for irradiating inspection light to an inspection area of an article to be inspected; intensity detecting unit for detecting intensity of either reflected light or scattered light, which is generated from the inspection area by irradiating thereto the inspection light; position detecting unit for detecting a position of either the reflected light or the scattered light within the inspection area; and deciding unit for deciding whether or not a foreign matter is present within the inspection area; the foreign matter inspection apparatus is comprised of: display unit capable of displaying thereon both a threshold image in which the threshold value is indicated over an entire area of the inspection area, and a detection sensitivity image indicated by being converted from the threshold image.
    Type: Grant
    Filed: July 12, 2011
    Date of Patent: April 16, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hiroyuki Yamashita, Mamoru Kobayashi, Eiji Imai, Yoshio Morishige, Koichi Nagoya, Hideki Fukushima
  • Patent number: 8417018
    Abstract: A photomask blank having a film on a substrate is inspected by (A) measuring a surface topography of a photomask blank having a film to be inspected for stress, (B) removing the film from the photomask blank to provide a treated substrate, (C) measuring a surface topography of the treated substrate, and (D) comparing the surface topography of the photomask blank with the surface topography of the treated substrate, thereby evaluating a stress in the film.
    Type: Grant
    Filed: March 30, 2010
    Date of Patent: April 9, 2013
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventors: Yukio Inazuki, Hideo Kaneko, Hiroki Yoshikawa
  • Patent number: 8416428
    Abstract: A method for obtaining a measurement in an in-line system for detecting presence of an out-of-parameter part is provided. The method includes conveying a tubular member to a detection system comprising a laser sensor arranged and configured to direct a beam of light onto an upper end surface of the tubular member for obtaining a measurement. The laser sensor is offset from a center of the tubular member such that the beam of light is directed onto an outer side of the upper end surface. The beam of light is directed onto the upper end surface at the outer side of the tubular member and the beam of light moves from a front quadrant of the upper end surface to a rearward quadrant of the upper end surface.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: April 9, 2013
    Assignee: Toyota Motor Engineering & Manufacturing North America, Inc.
    Inventor: Joel Mark Liggins
  • Patent number: 8411288
    Abstract: A method and an arrangement for determining the coordinates K of at least one point P1 on an edge of an optical lens, wherein the lens is held/can be positioned, by a retaining device, with the edge which is to be measured in an optical path of an optical micrometer, whereby the lens is held/can be positioned with the edge in the optical path, a coordinate Za is determined for the point P1, a variation of the relative position between the lens and the optical path is made, which is based on a pivotal axis S, a coordinate Zb is determined in the new position B for the point P1, and the coordinates Ya and Yb are determined.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: April 2, 2013
    Assignee: Schneider GmbH & Co. KG
    Inventors: Gunter Schneider, Torsten Gerrath, Klaus Krämer
  • Patent number: 8411264
    Abstract: An apparatus for inspecting a substrate surface is provided, which includes illumination optics for irradiating the substrate surface linearly with rectilinearly polarized light from an oblique direction, detection optics for acquiring images of the substrate surface, each of the images being formed by the light scattered from the light-irradiated substrate surface, and means for comparing an image selected as an inspection image from the plurality of substrate surface images that the detection optics has acquired to detect defects, and another image selected from the plural images of the substrate surface as a reference image different from the inspection image; the illumination optics being formed with polarization control means for controlling a polarizing direction of the light according to a particular scanning direction of the substrate or a direction orthogonal to the scanning direction.
    Type: Grant
    Filed: July 17, 2009
    Date of Patent: April 2, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takeo Ueno, Hiroyuki Nakano, Yasuhiro Yoshitake
  • Patent number: 8405826
    Abstract: A method for the inspection of bottles or containers in a bottling or container filling plant and an apparatus for the inspection of bottles or containers. The abstract of the disclosure is submitted herewith as required by 37 C.F.R. §1.72(b). As stated in 37 C.F.R. §1.72(b): A brief abstract of the technical disclosure in the specification must commence on a separate sheet, preferably following the claims, under the heading “Abstract of the Disclosure.” The purpose of the abstract is to enable the Patent and Trademark Office and the public generally to determine quickly from a cursory inspection the nature and gist of the technical disclosure. The abstract shall not be used for interpreting the scope of the claims. Therefore, any statements made relating to the abstract are not intended to limit the claims in any manner and should not be interpreted as limiting the claims in any manner.
    Type: Grant
    Filed: May 15, 2009
    Date of Patent: March 26, 2013
    Assignee: KHS GmbH
    Inventors: Volker Till, Paul-Gerhard Kahlisch, Horst Böcker
  • Patent number: 8396281
    Abstract: A method for inspecting substrate internal defects is disclosed. The method provides at least one light source disposed on a lateral side of a substrate and configured to emit a light beam to the lateral side for correspondingly penetrating the substrate. The method also provides an image capturing module for retrieving an image of the upper surface, wherein an incident angle of the light beam to the respective lateral side is limited within a first predetermined angle to allow the light beam to have a total reflection in the substrate. Thus, the light beam is blocked by internal defects when transmitting within the substrate to generate bright spots to be detected by the image capturing module for locating the defect position. The method provides a better image definition of internal defects images. The present invention further provides an apparatus based on the method for inspecting substrate internal defects.
    Type: Grant
    Filed: March 25, 2010
    Date of Patent: March 12, 2013
    Assignee: Delta Electronics, Inc.
    Inventors: Jen-Ming Chang, Yu-Hsi Lee, Yen-Chun Chou, Cheng-Kai Chen, Jui-Yu Lin
  • Patent number: 8379964
    Abstract: The present invention is directed to a method for detecting anomalies in a semiconductor substrate comprising the steps of providing a semiconductor substrate, making an inspection image I of the substrate, generating an image K from image I by image processing, generating image B by binarizing image K, and examining image I using image B, characterized in that generating image K comprises multiplying a high-pass convolution filtered image G(I) from image I and a first weight image W1. The present invention is also directed to an apparatus suitable for applying the method.
    Type: Grant
    Filed: August 29, 2008
    Date of Patent: February 19, 2013
    Assignee: KLA-Tencor Corporation
    Inventors: Dominque Janssens, Luc Vanderheydt, Johan DeGreeve, Lieve Govaerts
  • Patent number: 8363921
    Abstract: An inspection system and method for paint coated film of steel bridge using image processing technique including a paint coated film image storing process that stores in a data base (DB) unit a paint coated film image information of a steel bridge photographed by the inspection system; a paint coated film information loading process that loads information stored in the DB unit through calling a file containing the paint coated film image information to be inspected; a scale and rust extracting process that displays a scale part and a rust part on an output unit after detecting the rust part and the scale part by use of the inspection system and storing a calculated percentage of deteriorated are in the DB unit; and a repainting information calculating process that calculates a time for repainting and provide an optimum method for paint coating by deteriorated image information of paint coated film.
    Type: Grant
    Filed: April 18, 2008
    Date of Patent: January 29, 2013
    Assignee: Korea Expressway Corporation
    Inventors: Haimoon Jeong, Chan-Young Lee, Whoi-Yul Kim, Jong-Min Lee, Ki-Hong Park
  • Patent number: 8358406
    Abstract: An object of the invention is to provide a defect inspection method which can prevent the failure in detecting a defect, caused by saturation of a pattern signal obtained by inspecting an inspected object, so that the investigation of the cause for defect occurrence can be done earlier. To achieve this object, according to an embodiment of the invention, there is provided a defect inspection that irradiates laser light on an inspected object having a pattern formed thereon, detects a signal from the inspected object and thereby detects a defect, the inspection including: inputting pattern information contained in layout data on the inspected object; determining based on the inputted pattern information, at least one of arrangement, repetitiveness and density for each of a plurality of inspected areas of the inspected object; estimating a saturation level of the detected signal based on the determination result; and determining a transmittance condition so that the signal does not saturate.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: January 22, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Masami Ikota, Tomohiro Funakoshi, Shigeaki Hijikata
  • Patent number: 8358830
    Abstract: A method of detecting optical defects in a transparency may comprise the steps of providing a digital image of the transparency having a plurality of image pixels and detecting at least one candidate defect. The candidate defect may be detected by determining a grayscale intensity of each one of the image pixels and calculating an intensity gradient across adjacent pairs of the image pixels. Each image pixel may be assigned a gradient value comprising a maximum of the absolute value of the intensity gradients associated with the image pixel. A gradient image may be constructed comprising the gradient values assigned to corresponding ones of the image pixels. Image pixels may be identified as candidate pixels if such image pixels have a gradient value exceeding a gradient threshold. The candidate pixels may comprise the optical defect.
    Type: Grant
    Filed: March 26, 2010
    Date of Patent: January 22, 2013
    Assignee: The Boeing Company
    Inventors: Ronald L. Bookout, Michael P. Gleason, Matthew M. Thomas, Michael S. Dixon, Robert Pless, William D. Smart
  • Patent number: 8330948
    Abstract: A semiconductor examination apparatus includes an energy source device that supplies a semiconductor substrate having a pn junction with excitation energy that causes luminescence in the semiconductor substrate, an image capturing device that captures a first luminescence image of the semiconductor substrate supplied with first excitation energy and a second luminescence image of the semiconductor substrate supplied with second excitation energy that is different in magnitude from the first excitation energy, a luminescence image processing device that calculates the difference in luminescence intensity between the first luminescence image and the second luminescence image at positions on the semiconductor substrate and generates intensity difference image data, and a detecting device that detects a crack position of a crack occurring in the semiconductor substrate on the basis of determination values based on the magnitude of the difference on the intensity difference image data.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: December 11, 2012
    Assignee: Tokyo Denki University
    Inventor: Kenji Gomi
  • Patent number: 8319961
    Abstract: An apparatus to test a semiconductor package includes a vertical illuminator to supply vertical illumination in the same axial direction as a measurement target and a vertical image unit to capture a vertical image of the measurement target so that a testing apparatus may 2-dimensionally determine information on the shape, size, or position of a solder ball. An inclined illuminator may supply inclined illumination in a different axial direction from the measurement target, and an inclined image capture unit may capture a side image of the measurement target so that the testing apparatus may 3-dimensionally determine information on a state of contact of the solder ball with the ball land. The inclined image capture unit may include a color camera using color information, thereby markedly increasing test reliability and yield.
    Type: Grant
    Filed: December 8, 2009
    Date of Patent: November 27, 2012
    Assignees: SAMSUNG Electronics Co., Ltd., INTEKPLUS Co., Ltd.
    Inventors: Chang-Hyun Ryu, Ssang-Gun Lim, Dong-Hae Son, Poom-Seong Park
  • Patent number: 8310667
    Abstract: A wafer surface inspection method and apparatus of high sensitivity, and free from performance degradation in terms of cleanliness, coordinate repeatability of foreign particles and the like. Gas for cooling is sprayed onto a laser irradiation position on the wafer surface to prevent an increase in temperature of the foreign particles and to suppress break-down of the foreign particles.
    Type: Grant
    Filed: August 6, 2008
    Date of Patent: November 13, 2012
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kazuhiro Zama, Masayuki Hachiya
  • Patent number: 8306311
    Abstract: A method and system for identifying voids in solder balls in a ball-grid array (BGA) using an image of the BGA include localizing an image of a solder ball on the BGA image, the solder ball image having a radius and having multiple points each having an image intensity, and producing a void-free model image of the solder ball based on the radius of the solder ball image, the void-free model image having multiple points each having an image intensity. The method and system also include computing a difference between the image intensities of the points of the solder ball image and the image intensities of the points of the void-free model image to produce a residual image, and identifying a void using the residual image.
    Type: Grant
    Filed: April 14, 2010
    Date of Patent: November 6, 2012
    Assignee: Oracle International Corporation
    Inventors: David K. McElfresh, Anton A. Bougaev, Aleksey Urmanov