By Configuration Comparison Patents (Class 356/388)
  • Patent number: 11668657
    Abstract: A method for verifying semiconductor wafers includes receiving a semiconductor wafer including a plurality of layers. A first set of measurement data is obtained for at least one layer of the plurality of layers, where the first set of measurement data includes at least one previously recorded thickness measurement for one or more portions of the at least one layer. The first set of measurement data is compared to a second set of measurement data for the at least one layer. The second set of measurement data includes at least one new thickness measurement for the one or more portions of the at least one layer. The semiconductor wafer is determined to be an authentic wafer based on the second set of measurement data corresponding to the first set of measurement data, otherwise the semiconductor is determined to not be an authentic wafer.
    Type: Grant
    Filed: April 11, 2022
    Date of Patent: June 6, 2023
    Assignee: International Business Machines Corporation
    Inventor: Effendi Leobandung
  • Patent number: 11587354
    Abstract: A photoelectric fingerprint identification apparatus, a terminal, and a fingerprint identification method are provided. The apparatus includes: a light-emitting unit, where the light-emitting unit generates at least a first light signal and a second light signal; a photoelectric fingerprint sensor, where the photosensitive fingerprint sensor includes a first sensing region and a second sensing region that do not overlap each other, and the first sensing region is covered with an infrared filter; an image detection unit, configured to detect reflected light energy of the first sensing region to obtain fingerprint information; and a living body detection unit, configured to detect reflected light energy of the second sensing region to obtain living body detection information.
    Type: Grant
    Filed: August 26, 2021
    Date of Patent: February 21, 2023
    Assignee: Vivo Mobile Communication Co., Ltd.
    Inventor: Jinshan Ye
  • Patent number: 11472113
    Abstract: A calibration method of an additive manufacturing apparatus includes an irradiation trace forming step, an imaging step, a specifying step, and a correction step. The irradiation trace forming step scans laser beams with each of a plurality of scanners with respect to a plurality of target positions on a calibration plate installed on a molding region, and forms a plurality of irradiation traces having different shapes for each of the plurality of scanners. The imaging step simultaneously images the plurality of irradiation traces formed with respect to the same target position. The specifying step specifies a plurality of irradiated positions of the laser beam scanned by each of the plurality of scanners. The correction step generates correction data that specifies a deviation amount at any point of a laser coordinate system related to each of the plurality of scanners.
    Type: Grant
    Filed: September 6, 2021
    Date of Patent: October 18, 2022
    Assignee: Sodick Co., Ltd.
    Inventors: Kei Mochizuki, Yasuyuki Miyashita, Ichiro Araie
  • Patent number: 11474008
    Abstract: An exhaust gas dilution device according to an exemplary embodiment of the present invention includes a head part, ejector unit, a nozzle part, and a dilution part. The head part has a space part into which an exhaust gas flows and a through-hole formed through the center axis direction to be connected to the space part. The ejector unit is coupled to the head part and has a first discharge hole formed passing through the center axis direction to be connected to the through-hole and connected to a first inlet to which primary dilution air is supplied. The nozzle part is inserted into a first discharge hole through the through-hole and has a second discharge hole that penetrates in the center axis direction so that the exhaust gas flowed into the space part is sucked and ejected into the first discharge hole as the primary dilution air moves through the first discharge hole.
    Type: Grant
    Filed: June 25, 2019
    Date of Patent: October 18, 2022
    Assignee: KOREA INSTITUTE OF MACHINERY & MATERIALS
    Inventors: Bangwoo Han, Chang Gyu Woo, Hak Joon Kim, Yong-Jin Kim
  • Patent number: 11468553
    Abstract: A system for characterizing a specimen is disclosed. In one embodiment, the system includes a controller configured to: receive training images of one or more defects of the specimen; generate a machine learning classifier based on the training images; receive product images of one or more defects of a specimen; determine one or more defect type classifications of one or more defects with the machine learning classifier; filter the product images with one or more smoothing filters; perform binarization processes to generate binarized product images; perform morphological image processing operations on the binarized product images; determine one or more algorithm-estimated defect sizes of the one or more defects based on the binarized product images; and determine one or more refined estimates of one or more defect sizes of the one or more defects based on the one or more algorithm-estimated defect sizes and the one or more defect type classifications.
    Type: Grant
    Filed: September 17, 2019
    Date of Patent: October 11, 2022
    Assignee: KLA Corporation
    Inventors: Ramaprasad Kulkarni, Ge Cong, Hawren Fang
  • Patent number: 11333985
    Abstract: The invention provides a position sensor (300) which comprises an optical system (305,306) configured to provide measurement radiation (304) to a substrate (307). The optical system is arranged to receive at least a portion of radiation (309) diffracted by a mark (308) provided on the substrate. A processor (313) is applied to derive at least one position-sensitive signal (312) from the received radiation. The measurement radiation comprises at least a first and a second selected radiation wavelength. The selection of the at least first and second radiation wavelengths is based on a position error swing-curve model.
    Type: Grant
    Filed: June 5, 2019
    Date of Patent: May 17, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Sebastianus Adrianus Goorden, Simon Reinald Huisman, Duygu Akbulut, Alessandro Polo, Johannes Antonius Gerardus Akkermans, Arie Jeffrey Den Boef
  • Patent number: 11249293
    Abstract: A computing system for dynamic forensic data capture and analysis is provided. The computing system may include a processor coupled to a memory to execute forensic analysis detection scheme using a forensic analysis agent of a client node and a forensic analysis module at a networked server node. The processor may be operable to receive a user request for a computer activity and sensed image data associated with the forensic evidence while the client node is coupled within a forensic microscope assembly that optically aligns an image sensor of the client node with the forensic evidence. The processor may also be operable to generate and send ballistic specimen data including images, video, GPS data and the like to the networked server, wherein the processor is operable to generate ballistic imaging metadata. Further, the processor may be operable to detect matching records of spent ballistics and generate a hit report thereby.
    Type: Grant
    Filed: January 11, 2019
    Date of Patent: February 15, 2022
    Assignee: iBallistix, Inc.
    Inventor: Robert H. Poole
  • Patent number: 10788314
    Abstract: Methods and apparatus for measuring a thickness of a coating on an moving object are provided. Light is directed toward the object at a predetermined location on the object such that a portion of the light interacts with the object. A I D and/or 2D maximum intensities for at least one wavelength channel is captured that is produced by the portion of the light interacting with the object. A measured average intensity of the wavelength channel and/or intensities and their arithmetic derivatives of multi wavelength channel geometries is converted into I D (averaged) and/or 2D thickness values. Based on these values an acceptability of the coating is evaluated and thickness calculated.
    Type: Grant
    Filed: January 4, 2017
    Date of Patent: September 29, 2020
    Assignee: Arkema Inc.
    Inventors: Gunter E. Moeller, Roman Y. Korotkov, Ryan C. Smith
  • Patent number: 10012569
    Abstract: Fluid-based no-moving part logic devices are constructed from complex sequences of micro- and nanofluidic channels, on-demand bubble/droplet modulators and generators for programming the devices, and micro- and nanofluidic droplet/bubble memory elements for storage and retrieval of biological or chemical elements. The input sequence of bubbles/droplets encodes information, with the output being another sequence of bubbles/droplets or on-chip chemical synthesis. For performing a set of reactions/tasks or process control, the modulators can be used to program the device by producing a precisely timed sequence of bubbles/droplets, resulting in a cascade of logic operations within the micro- or nanofluidic channel sequence, utilizing the generated droplets/bubbles as a control. The devices are based on the principle of minimum energy interfaces formed between the two fluid phases enclosed inside precise channel geometries.
    Type: Grant
    Filed: August 2, 2016
    Date of Patent: July 3, 2018
    Assignee: Massachusetts Institute of Technology
    Inventors: Manu Prakash, Neil Gershenfeld
  • Patent number: 9972079
    Abstract: Provided is a wafer appearance inspection apparatus that can segmentize an inspection target region, enable expedited execution of an operation to set a sensitivity threshold to each region, and enhance the inspection efficiency. Pattern matching between the image region of a part of a standard wafer, which is defined as a template region, and the entire image region of the standard wafer is performed to compute an image editing value. The computed image editing value is used to edit an inspection target image. A region for which a threshold is to be set can be automatically confirmed by roughly specifying the region from among edited image regions displayed on the display. The confirmed region and a similar pattern region are searched and displayed. When the similar region is selected, the initial sensitivity threshold is displayed, and a change is made on an as-needed basis. The region of the set sensitivity threshold is displayed by the display color corresponding to the determined threshold.
    Type: Grant
    Filed: April 28, 2014
    Date of Patent: May 15, 2018
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Mitsuhiro Yoshida
  • Patent number: 9910965
    Abstract: Methods, apparatuses and computer program products are provided for monitoring the stocking and dispensing of medications from an automated medication storage device. In this regard, a method may cooperate with the automated medication storage device to facilitate surveillance of internal components and users of the medication storage device. For example, a user and/or the user's interactions can be imaged by one or more cameras while the user is interacting with the automated medication storage device.
    Type: Grant
    Filed: September 16, 2011
    Date of Patent: March 6, 2018
    Assignee: Aesynt Incorporated
    Inventors: Brian Bufalini, Fabian R. Reza
  • Patent number: 9679793
    Abstract: The invention concerns an optical monitoring system for the measurement of layer thicknesses of thin coatings applied in a vacuum, particularly on moving substrates, during the coating process, in which the light intensity of the light of a light source injected into a reference light guide and released by a first piezoelectric or electrostrictive or magnetostrictive light chopper is registered by a light detector unit in a reference phase, the light of the light source in a measuring phase is injected into a first measuring light guide and the light released by a second piezoelectric or electrostrictive or magnetostrictive light chopper is directed to the substrate, and the light intensity of the light reflected or transmitted from the substrate is registered by the light detector unit through a second measuring light guide, and a remaining light intensity is registered by the light detector unit in at least one dark phase, wherein the reference phase, the measuring phase, and the dark phase are shifted in t
    Type: Grant
    Filed: February 23, 2006
    Date of Patent: June 13, 2017
    Assignee: LEYBOLD OPTICS GMBH
    Inventors: Alfons Zoller, Hans Dirk Wolf, Christopher Schmitt, Michael Boos, Werner Klug
  • Patent number: 9616544
    Abstract: Disclosed herein is a wafer inspection method of inspecting a wafer after polishing. The wafer inspection method includes the steps of polishing the wafer after grinding, imaging a polished surface of the wafer to thereby create image data including the characteristics of plural saw marks left on the polished surface of the wafer from a detected image, performing Fourier transform to the image data to thereby extract a frequency distribution corresponding to the saw marks, performing inverse Fourier transform to the frequency distribution extracted above to obtain an amplitude of each saw mark, and determining imperfect polishing of the wafer in the case that the amplitude is greater than a predetermined range.
    Type: Grant
    Filed: August 27, 2015
    Date of Patent: April 11, 2017
    Assignee: Disco Corporation
    Inventors: Yusaku Ito, Hirohide Yano
  • Patent number: 9506875
    Abstract: The present invention relates to the field of display technology and discloses a detection-evaluation method for moiré pattern, comprising steps of: S1, detecting luminances at a plurality of continuous positions in a predetermined region of a display panel which is displaying a full white picture, so as to obtain a luminance curve; and S2, judging whether a graphics including peaks and valleys exists in the luminance curve, if the graphics exists, determining that the moiré pattern exists. The present invention further discloses a detection-evaluation device for moiré pattern. The present invention realizes an effective and accurate detection for moiré pattern, and overcomes shortcomings in visual inspection.
    Type: Grant
    Filed: April 30, 2014
    Date of Patent: November 29, 2016
    Assignee: BOE TECHNOLOGY GROUP CO., LTD.
    Inventors: Jinye Zhu, Wei Wei, Naifu Wu
  • Patent number: 9437328
    Abstract: A device under test has a connection interface, a controller, and a functional block. The connection interface is used to receive a test pattern transmitted at a first clock rate and output a functional test result. The controller is used to sample the test pattern by using a second clock rate and accordingly generate a sampled test pattern, wherein the second clock rate is higher than the first clock rate. The functional block is used to perform a designated function upon the sampled test pattern and accordingly generate the functional test result.
    Type: Grant
    Filed: November 25, 2013
    Date of Patent: September 6, 2016
    Assignee: Silicon Motion Inc.
    Inventor: Tsung-Chieh Yang
  • Patent number: 8937712
    Abstract: A method of enabling an authenticating device (10) includes providing an enabling target (17); measuring one or more attributes of the enabling target with the authenticating device; comparing at least one measured attribute with a predetermined expected value; enabling the authenticating device when the at least one measured attribute matches the predetermined expected value; and operating the authenticating device.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: January 20, 2015
    Assignee: Eastman Kodak Company
    Inventors: Thomas D. Pawlik, Myra T. Olm, Thomas J. Widzinski, Judith A. Bose, Mark P. Henry
  • Patent number: 8743363
    Abstract: Aspects of the subject technology relate to methods and systems for identifying a target material. The system includes a tunable laser, an imaging device, and a signal processor. The tunable laser is configured to intermittently direct electromagnetic radiation of at least one selected wavelength at a surface of a target material. The imaging device is configured to capture at least one “on” image of the surface when the electromagnetic radiation of the at least one selected wavelength is directed at the surface and capture at least one “off” image of the surface when electromagnetic radiation of the at least one selected wavelength is not directed at the surface. The signal processor is configured to compare, for each selected wavelength, the “on” image(s) corresponding to the selected wavelength with the “off” image(s) corresponding to the selected wavelength and identify the target material based on the comparison.
    Type: Grant
    Filed: February 4, 2013
    Date of Patent: June 3, 2014
    Assignee: Lockheed Martin Corporation
    Inventor: John J. Glennon
  • Patent number: 8619245
    Abstract: A system for enabling an authenticating device includes an enabling target (17); measuring one or more attributes of the enabling target with the authenticating device; comparing at least one measured attribute with a predetermined expected value; enabling the authenticating device when the at least one measured attribute matches the predetermined expected value; and operating the authenticating device.
    Type: Grant
    Filed: August 16, 2012
    Date of Patent: December 31, 2013
    Assignee: Eastman Kodak Company
    Inventors: Thomas D. Pawlik, Myra T. Olm, Thomas J. Widzinski, Judith A. Bose, Mark P. Henry
  • Patent number: 8466966
    Abstract: A video calibration device comprising an elongated image tube having a length, a first opening at one end of the image tube and a second opening at the opposite end of the image tube. The device includes an elongated sensor tube having a length, a first opening at one end of the sensor tube and a second opening at the opposite end of the sensor tube. The first opening of the sensor tube is adapted to support a video calibration sensor. A video calibration sensor is disposed in the first opening of the sensor tube. The sensor tube is sealingly secured to the image tube at an angle whereby the second opening of the sensor tube and the second opening of the image tube are substantially juxtaposed.
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: June 18, 2013
    Inventor: Thomas E. Ciesco
  • Publication number: 20130038873
    Abstract: An apparatus used to calibrate microtiter plate readers. The apparatus includes one or more structures having two exterior surfaces wherein one of the exterior surfaces is coated with a coating selected to establish on that surface a reflection loss that mimics reflection loss at an air-liquid interface, and the other of the two exterior surfaces is coated with a coating selected to establish a reflection loss on that surface that mimics the reflection loss at a bottom surface of a microtiter plate. The apparatus may be a single layer, a multi-layered composition or a container. The apparatus is an artifact that may be used to calibrate a plate reader by mimicking a solution-filled microtiter plate. The artifact may be used for a plurality of liquids, including water. The artifact produces reflection losses more closely mirroring reflection losses expected for a liquid-filled microtiter plate well.
    Type: Application
    Filed: August 9, 2011
    Publication date: February 14, 2013
    Applicant: ARTEL, INC.
    Inventors: Richard H. Curtis, John Thomas Bradshaw
  • Patent number: 8368896
    Abstract: Configurations herein include a novel process, system, etc., to measure a concentration of sulfur trioxide in a gas sample including sulfur trioxide, sulfur dioxide, and water. An example system can include an optical radiation source that generates an optical signal at a plurality of vibration-rotation transitions around at least one frequency selected from the group consisting of 1396.889 cm?1, 1396.908 cm?1, 1396.962 cm?1, 1397.003 cm?1, 1397.037 cm?1, 1397.047 cm?1, and 1397.052 cm?1. The optical signal is transmitted along an optical path through the gas sample. Based on adjusting a pressure of the gas sample, each of the plurality of vibration-rotation absorption transitions associated with the sulfur trioxide can be substantially isolated from interfering absorption by the sulfur dioxide and the water vapor in the gas sample. Based on a spectral analysis, the system generates a value indicative of a concentration of sulfur trioxide in the gas sample.
    Type: Grant
    Filed: January 25, 2010
    Date of Patent: February 5, 2013
    Assignee: Thermo Fisher Scientific Inc.
    Inventors: Yongquan Li, Jeffrey S. Socha, Dieter Kita
  • Publication number: 20120194816
    Abstract: System(s), apparatus(es), and method(s) are provided for control of quality of light emitted from a group of solid-state light (SSL) sources that are part of an illumination fixture. The control is based at least in part on regulation of the spectral power distribution (SPD) of the light to match a SPD of a reference light source. A spectroscopic analyzer collects electromagnetic (EM) radiation emitted from the group of SSL sources and EM radiation substantially emitted from the reference light source. A first controller analyzes spectroscopic data related to SPDs of the group of SSL sources and the reference light source and, based on the analysis issues a configuration of the group of SSL sources. Implementation of the configuration causes the group of SSL sources to emit EM radiation with a SPD that nearly matches the SPD of the EM radiation substantially emitted from the reference light source.
    Type: Application
    Filed: January 28, 2011
    Publication date: August 2, 2012
    Inventors: Kevin Hempson, Dilip Sangam
  • Publication number: 20120133938
    Abstract: A pattern formed on a substrate includes first and second sub-patterns positioned adjacent one another and having respective first and second periodicities. The pattern is observed to obtain a combined signal which includes a beat component having a third periodicity at a frequency lower than that of the first and second periodicities. A measurement of performance of the lithographic process is determined by reference to a phase of the beat component. Depending how the sub-patterns are formed, the performance parameter might be critical dimension (CD) or overlay, for example. For CD measurement, one of the sub-patterns may comprise marks each having of a portion sub-divided by product-like features. The measurement can be made using an existing alignment sensor of a lithographic apparatus. Sensitivity and accuracy of the measurement can be adjusted by selection of the first and second periodicities, and hence the third periodicity.
    Type: Application
    Filed: November 29, 2011
    Publication date: May 31, 2012
    Applicant: ASML NETHERLANDS B.V.
    Inventors: David DECKERS, Franciscus Godefridus Casper BIJNEN, Sami MUSA
  • Publication number: 20120105865
    Abstract: A microlithographic projection exposure apparatus includes an optical surface and a measurement device which measures a parameter related to the optical surface at a plurality of separated areas on the optical surface. The measurement device includes an illumination unit which directs individual measuring light beams towards the areas on the optical surface. Each measuring light beam illuminates at least a portion of an area, which is associated with the measuring light beam, and at least a portion of an adjacent area which is not associated with the measuring light beam. A detector unit measures a property for each measuring light beam after it has interacted with the optical surface.
    Type: Application
    Filed: December 20, 2011
    Publication date: May 3, 2012
    Applicant: CARL ZEISS SMT GMBH
    Inventor: Michael Patra
  • Patent number: 8163224
    Abstract: An equipment for metal-laser sintering process includes a powder layer forming unit, an irradiation unit which irradiates light beams, a correction target on which a correction mark serving as a fiducial in correction of the irradiation points of the light beams is formed, and an imaging camera which takes an image of the correction mark. The correction target is formed of a material which is melted by irradiation of light beam so as to be formed a through hole. The correction target is disposed on the substrate and the light beams are irradiated to penetrate the correction target so that the correction mark is formed. Subsequently, the imaging camera takes an image of the correction mark and the location of the correction mark is measured, and thus, correction of the irradiation points is performed.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: April 24, 2012
    Assignee: Panasonic Corporation
    Inventors: Yoshikazu Higashi, Satoshi Abe
  • Publication number: 20120075606
    Abstract: A mask inspection system with Fourier filtering and image compare can include a first detector, a dynamic Fourier filter, a controller, and a second detector. The first detector can be located at a Fourier plane of the inspection system and can detect a first portion of patterned light produced by an area of a mask. The dynamic Fourier filter can be controlled by the controller based on the detected first portion of the patterned light. The second detector can detect a second portion of the patterned light produced by the section of the mask and transmitted through the dynamic Fourier filter. Further, the mask inspection system can include a data analysis device to compare the second portion of patterned light with another patterned light. Consequently, the mask inspection system is able to detect any possible defects on the area of the mask more accurately and with higher resolution.
    Type: Application
    Filed: March 18, 2010
    Publication date: March 29, 2012
    Inventors: Michael L. Nelson, Harry Sewell, Eric Brian Catey
  • Patent number: 8138089
    Abstract: A method, structure, system of aligning a substrate to a photomask. The method comprising: directing light through a clear region of the photomask in a photolithography tool, through a lens of the tool and onto a set of at least three diffraction minor arrays on the substrate, each diffraction minor array of the set of at least three diffraction minor arrays comprising a single row of minors, all mirrors in any particular diffraction minor array spaced apart a same distance, minors in different diffraction minor arrays spaced apart different distances; measuring an intensity of light diffracted from the set of at least three diffraction mirror arrays onto an array of photo detectors; and adjusting a temperature of the photomask or photomask and lens based on the measured intensity of light.
    Type: Grant
    Filed: July 6, 2011
    Date of Patent: March 20, 2012
    Assignee: International Business Machines Corporation
    Inventors: Axel A. Granados, Benjamin A. Fox, Nathaniel J. Gibbs, Andrew B. Maki, Trevor J. Timpane
  • Patent number: 8027813
    Abstract: A system and method of calculating estimated image profiles. The system and method includes providing lens characteristic data and performing simulation calculations for various levels of aberration components using the lens characteristic data. A response surface functional relation is built between selected variables of the lens characteristics, in particular the lens aberration components, and the Image Profile using the simulation calculations. Evaluation is then performed on the arbitrary specified aberration values of a lens in relation to the response surface functional relations to provide a calculated estimate of the Image Profile for the specified aberration values. A machine readable medium and exposure apparatus are also provided.
    Type: Grant
    Filed: February 20, 2004
    Date of Patent: September 27, 2011
    Assignee: Nikon Precision, Inc.
    Inventor: Steven Douglas Slonaker
  • Patent number: 8027037
    Abstract: In a measuring system, a method for evaluating parameters of a workpiece includes measuring a periodic structure, such as a grating, on the workpiece to produce image data. An orientation of features in the image data, produced by higher order diffractions from the periodic structure, is identified. An orientation of the periodic structure is determined based on the orientation of the features in the image data. The image data is then modified, based on the orientation of the periodic structure, to correlate with, and for comparison to, simulated image data to ascertain parameters of the workpiece. Alternatively, optical components in the measuring system, or the workpiece itself, are adjusted to provide a desired alignment between the optical components and the periodic structure. A microstructure on the workpiece may then be measured, and the resulting image data may be compared to the simulated image data to ascertain parameters of the microstructure.
    Type: Grant
    Filed: January 28, 2010
    Date of Patent: September 27, 2011
    Assignee: Nanometrics Incorporated
    Inventors: Mike Littau, Darren Forman, Chris Raymond, Steven Hummel
  • Patent number: 7970577
    Abstract: A method for evaluating placement errors within a lithographic mask, the method includes: providing or receiving a reference result that represents a distance between a reference pair of points of a reference element; measuring, for each pair of points out of multiple pairs of points that are associated with multiple spaced apart elements of the lithographic mask, the distance between the pair of points to provide multiple measurement results; wherein differences between a measurement result and the reference result are indicative of relative placement errors; and determining relative placement errors in response to relationships between the reference result and each of the measurement results.
    Type: Grant
    Filed: November 6, 2008
    Date of Patent: June 28, 2011
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Shmuel Mangan, Michael Ben-Yishai, Lior Shoval
  • Patent number: 7925072
    Abstract: Methods for identifying array areas in dies formed on a wafer and methods for setting up such methods are provided. One method for identifying array areas in dies formed on a wafer includes comparing an array pattern in a template image acquired in one of the array areas to a search area image acquired for the wafer. The method also includes determining areas in the search area image in which a pattern is formed that substantially matches the array pattern in the template image based on results of the comparing step. In addition, the method includes identifying the array areas in the dies formed on the wafer based on results of the determining step.
    Type: Grant
    Filed: March 8, 2007
    Date of Patent: April 12, 2011
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Chien-Huei (Adam) Chen, Ajay Gupta, Richard Wallingford, Kaustubh (Kaust) Namjoshi, Mike Van Riet, Michael Cook
  • Patent number: 7920277
    Abstract: A laser irradiation process includes: scanning a substrate with laser having a predetermined lasing frequency at different irradiation intensities to form a plurality of first irradiation areas corresponding to the irradiation intensities; illuminating the first irradiation areas to reflected light receive from the first irradiation areas; determining microcrystallization intensity based on the received reflected light; and determining irradiation intensity based on the thus determined microcrystallization intensity. The laser irradiation process uses the irradiation intensity for irradiating a polycrystalline film in a product semiconductor device.
    Type: Grant
    Filed: April 2, 2010
    Date of Patent: April 5, 2011
    Assignees: NEC Corporation, NEC LCD Technologies, Ltd.
    Inventors: Mitsuru Nakata, Hirofumi Shimamoto, Hiroshi Kanoh
  • Patent number: 7876438
    Abstract: Disclosed are techniques and apparatus are provided for determining overlay error or pattern placement error (PPE) across the field of a scanner which is used to pattern a sample, such as a semiconductor wafer or device. This determination is performed in-line on the product wafer or device. That is, the targets on which overlay or PPE measurements are performed are provided on the product wafer or device itself. The targets are either distributed across the field by placing the targets within the active area or by distributing the targets along the streets (the strips or scribe areas) which are between the dies of a field. The resulting overlay or PPE that is obtained from targets distributed across the field may then be used in a number of ways to improve the fabrication process for producing the sample.
    Type: Grant
    Filed: September 15, 2009
    Date of Patent: January 25, 2011
    Assignee: KLA-Tencor Technologies Corporation
    Inventors: Mark Ghinovker, Michael E. Adel, Jorge Poplawski, Joel L. Seligson
  • Patent number: 7864319
    Abstract: A coordinate measuring machine (1) including a plane (25a) in which there is arranged a movable measurement table (20) moving the mask (2) correspondingly in the plane (25a), at least one objective (9) and a detector (11), an incident light source (14) arranged to provide incident light and/or a transmitted light source (6) arranged to provide transmitted light, wherein the mask (2) has at least a first area (41) and a second area (42), wherein the first area (41) and the second area (42) comprise different materials differing in their transmission or reflection properties.
    Type: Grant
    Filed: August 20, 2008
    Date of Patent: January 4, 2011
    Assignee: Vistec Semiconductor System GmbH
    Inventors: Hans-Artur Boesser, Michael Heiden, Klaus Rinn, Frank Laske
  • Publication number: 20100284007
    Abstract: Methods and apparatus for spectrum-based endpointing. An endpointing method includes selecting a reference spectrum. The reference spectrum is a spectrum of white light reflected from a film of interest on a first substrate and has a thickness greater than a target thickness. The reference spectrum is empirically selected for particular spectrum-based endpoint determination logic so that the target thickness is achieved when endpoint is called by applying the particular spectrum-based endpoint logic. The method includes obtaining a current spectrum. The current spectrum is a spectrum of white light reflected from a film of interest on a second substrate when the film of interest is being subjected to a polishing step and has a current thickness that is greater than the target thickness. The method includes determining, for the second substrate, when an endpoint of the polishing step has been achieved. The determining is based on the reference and current spectra.
    Type: Application
    Filed: July 26, 2010
    Publication date: November 11, 2010
    Inventors: Dominic J. Benvegnu, Jeffrey Drue David, Bogdan Swedek
  • Publication number: 20100284006
    Abstract: According to example configurations herein, a system includes an inertial filter, a temperature controller, and analyzer. The inertial filter has multiple ports including a first port, a second port, and a third port. A sample gas flows between the first port and the third port of the inertial filter. The second port of the inertial filter outputs a portion of the gas flowing between the first port and the second port. The temperature controller controls a temperature of the inertial filter and/or the gas flowing through the inertial filter. The analyzer receives the portion of the gas flow outputted by the second port of the inertial filter and produces a value indicative of a concentration of sulfur trioxide in the portion of the gas flow.
    Type: Application
    Filed: May 11, 2009
    Publication date: November 11, 2010
    Inventors: Jeffrey Socha, Dieter Kita, Robert D. Bailey, Yongquan Li
  • Publication number: 20100177314
    Abstract: The present invention relates to methods for on-line calibrating output power of an optical pick-up. A power adjusting circuit of the optical pick-up has an optical power regulator and an optical power detector. The on-line output power calibrating method includes the steps of: performing a recording pre-process; providing a focus offset value and/or a tilt offset value to the optical pick-up; providing a setting value, corresponding to power under test, to the optical power regulator; detecting laser power emitted from the optical pick-up using the optical power detector; comparing the laser power with the power under test to adjust the setting value of the optical power regulator corresponding to the power under test; and performing an optimum power calibration if the laser power emitted from the optical pick-up conforms to the power under test.
    Type: Application
    Filed: July 14, 2009
    Publication date: July 15, 2010
    Inventors: Yao Wen Liu, Chung Yi Wang
  • Patent number: 7756569
    Abstract: It is the object of a method for measuring the vessel diameter of optically accessible blood vessels to measure vessel diameters of optically accessible blood vessels in a simple manner based on digital images and with high accuracy even when the vessel diameter is on an order of magnitude at which the determination of the diameter by image point counting is associated with an unacceptably high error. According to the invention, the vessel diameter is determined photometrically from the logarithmized ratio of the intensities of the reflection of the vessel-free environment of the blood vessel and of the reflection of the blood vessel, which intensities are determined in a first monochromatic image.
    Type: Grant
    Filed: March 31, 2005
    Date of Patent: July 13, 2010
    Assignee: IMEDOS GmbH
    Inventors: Martin Hammer, Walthard Vilser
  • Patent number: 7724382
    Abstract: A laser irradiation process includes: scanning a substrate with laser having a predetermined lasing frequency at different irradiation intensities to form a plurality of first irradiation areas corresponding to the irradiation intensities; illuminating the first irradiation areas to reflected light receive from the fist irradiation areas; determining microcrystallization intensity based on the received reflected light; and determining irradiation intensity based on the thus determined microcrystallization intensity. The laser irradiation process uses the irradiation intensity for irradiating a polycrystalline film in a product semiconductor device.
    Type: Grant
    Filed: April 19, 2007
    Date of Patent: May 25, 2010
    Assignees: NEC Corporation, NEC LCD Technologies, Ltd.
    Inventors: Mitsuru Nakata, Hirofumi Shimamoto, Hiroshi Kanoh
  • Publication number: 20100103422
    Abstract: A sequence of current spectra is obtained with an in-situ optical monitoring system, and each current spectrum is compared to a plurality of reference spectra from a plurality of reference spectra libraries. The library that provides a best fit to the sequence of current spectra is determined, and a polishing endpoint is determined based on the sequence of current spectra and the library that provides a best fit to the sequence of current spectra.
    Type: Application
    Filed: October 27, 2008
    Publication date: April 29, 2010
    Inventors: Jeffrey Drue David, Boguslaw A. Swedek, Dominic J. Benvegnu, Harry Q. Lee
  • Patent number: 7684056
    Abstract: A light detecting method and apparatus wherein non-projection imaging operation of a predetermined range is carried out once within a non-projection imaging operation time thereby to obtain background information. During a remaining time after the non-projection imaging operation, light is radiated. At least two imaging operations of a predetermined range are performed thereby to acquire projection information. Information on the radiated light is extracted based on the background information and the projection information. Accordingly, the dead time that may occur before extraction of reflected light can be suppressed.
    Type: Grant
    Filed: July 23, 2007
    Date of Patent: March 23, 2010
    Assignee: Nissan Motor Co., Ltd.
    Inventor: Shogo Kitano
  • Patent number: 7664608
    Abstract: A pattern inspection apparatus which compares images of regions, corresponding to each other, of patterns that are formed so as to be identical and judges that non-coincident portions in the images are defects. The pattern inspection apparatus is equipped with an image comparing section which plots individual pixels of an inspection subject image in a feature space and detects excessively deviated points in the feature space as defects. Defects can be detected correctly even when the same patterns in images have a brightness difference due to a difference in the thickness of a film formed on a wafer.
    Type: Grant
    Filed: July 12, 2007
    Date of Patent: February 16, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Yuta Urano, Akira Hamamatsu, Shunji Maeda, Kaoru Sakai
  • Publication number: 20090240466
    Abstract: A method for evaluating placement errors within a lithographic mask, the method includes: providing or receiving a reference result that represents a distance between a reference pair of points of a reference element; measuring, for each pair of points out of multiple pairs of points that are associated with multiple spaced apart elements of the lithographic mask, the distance between the pair of points to provide multiple measurement results; wherein differences between a measurement result and the reference result are indicative of relative placement errors; and determining relative placement errors in response to relationships between the reference result and each of the measurement results.
    Type: Application
    Filed: November 6, 2008
    Publication date: September 24, 2009
    Applicant: APPLIED MATERIALS ISRAEL, LTD.
    Inventors: Shmuel Mangan, Michael Ben-Yishai, Lior Shoval
  • Patent number: 7542140
    Abstract: A detection apparatus includes a sample holding section, an irradiation means, a detection means, a calculation means, and an evaluation means. The irradiation means irradiates a substance held in the sample holding section with a THz wave. The detection unit detects a THz wave that has passed through or been reflected from the substance. The calculation unit determines a frequency dependence of a property of the substance with respect to the irradiated THz wave and then calculates a slope of a straight line or a slope of a straight line obtained by straight-line approximation of the frequency dependence of the property of the substance. The evaluation unit evaluates the state change of the substance by comparing a previously-obtained slope of a straight line of the frequency dependence of the property of the substance in a standard state and the slope of the straight line of the substance calculated.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: June 2, 2009
    Assignees: Canon Kabushiki Kaisha, Riken
    Inventors: Toshihiko Ouchi, Shintaro Kasai, Haruko Yoneyama, Masatsugu Yamashita
  • Publication number: 20090135424
    Abstract: In a method for determining a structure parameter of a target pattern, a first series of calibration spectra are determined from at least one reference pattern, each spectra being determined using a different known value of at least one structure parameter of the respective reference pattern. The first series of calibration spectra does not take into account parameters of an apparatus used to produce the reference pattern. A representation of each of the first series calibration spectra is stored in a central library. A second series of calibration spectra corresponding to at least one of the stored spectra for a target spectrum is determined using the parameters of the apparatus for measuring the target spectrum. A measured target spectrum is produced by directing a beam of radiation onto the target pattern. The measured target spectrum and the second series of calibration spectra are compared, where this comparison is used to derive a value for the structure parameter of the target pattern.
    Type: Application
    Filed: October 27, 2008
    Publication date: May 28, 2009
    Applicant: ASML Netherlands B.V.
    Inventors: Antoine Gaston Marie Kiers, Arie Jeffrey Den Boef, Hugo Augustinus Joseph Cramer
  • Publication number: 20090135423
    Abstract: The present invention discloses a method for measuring an intensity of a part of an electromagnetic spectral range, and an electronic device implementing the method. The method comprises the steps of providing an electronic device comprising an optical device (10) comprising at least a first liquid (A) and being placed in front of a sensor (120), the optical device (10) having a transmittance of the part of the electromagnetic spectral range depending on an orientation of at least the first liquid (A); measuring a first intensity of the electromagnetic (EM) spectral range; changing the orientation of at least the first liquid (A); measuring a second intensity of the electromagnetic spectral range; and calculating an intensity of the part of the electromagnetic spectral range from the difference between the first intensity and the second intensity. Consequently, an intensity for a part of the EM spectral range can be measured without the need for a sensor dedicated to this part of the EM spectral range.
    Type: Application
    Filed: November 7, 2005
    Publication date: May 28, 2009
    Applicant: KONINKLIJKE PHILIPS ELECTRONICS, N.V.
    Inventors: Stein Kuiper, Bernardus H.W. Hendriks, Robert W.J. Zijlstra
  • Publication number: 20090066955
    Abstract: A coordinate measuring machine (1) including a plane (25a) in which there is arranged a movable measurement table (20) moving the mask (2) correspondingly in the plane (25a), at least one objective (9) and a detector (11), an incident light source (14) arranged to provide incident light and/or a transmitted light source (6) arranged to provide transmitted light, wherein the mask (2) has at least a first area (41) and a second area (42), wherein the first area (41) and the second area (42) comprise different materials differing in their transmission or reflection properties.
    Type: Application
    Filed: August 20, 2008
    Publication date: March 12, 2009
    Applicant: VISTEC SEMICONDUCTOR SYSTEMS GMBH
    Inventors: Hans-Artur Boesser, Michael Heiden, Klaus Rinn, Frank Laske
  • Patent number: 7476856
    Abstract: A method and apparatus for efficiently executing two types of measurements with an optical measuring device and a scanning electron microscope are provided. For example, the method and apparatus may execute the following steps: calculating an average of the dimensional values of a plurality of scanned feature objects; and calculating an offset of a dimensional value on the basis of a difference between the calculated average value and the dimensional value of the feature object obtained when the light is irradiated. The offset between measurement values between the optical measuring device and the scanning electron microscope can be determined precisely.
    Type: Grant
    Filed: June 25, 2004
    Date of Patent: January 13, 2009
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Kenji Watanabe, Tadashi Otaka, Ryo Nakagaki, Chie Shishido, Masakazu Takahashi, Yuya Toyoshima
  • Patent number: 7446887
    Abstract: Optical metrology tools in a fleet of optical metrology tools can be matched using transforms. In particular, a first set of hypothetical profiles of one or more structures is obtained. The first set of hypothetical profiles was determined based on a first set of measured diffraction signals measured using a first optical metrology tool from the fleet of optical metrology tools. A second set of hypothetical profiles of the structure is obtained. The second set of hypothetical profiles was determined based on a second set of measured diffraction signals measured using a second optical metrology tool from the fleet of optical metrology tools. A reference profile is obtained. A first transform is generated based on the first set of hypothetical profiles and the reference profile. A second transform is generated based on the second set of hypothetical profiles and the reference profile.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: November 4, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Fred Stanke, Holger Tuitje, Shigeru Nagano
  • Patent number: 7446888
    Abstract: Optical metrology tools in a fleet of optical metrology tools can be matched using transforms. In particular, a first set of measured diffraction signals is obtained. The first set of measured diffraction signals was measured using a first optical metrology tool from the fleet of optical metrology tools. A second set of measured diffraction signals is obtained. The second set of diffraction signals was measured using a second optical metrology tool from the fleet of optical metrology tools. A reference diffraction signal is obtained. A first transform is generated based on the first set of measured diffraction signals and the reference diffraction signal. A second transform is generated based on the second set of measured diffraction signals and the reference diffraction signal.
    Type: Grant
    Filed: May 22, 2006
    Date of Patent: November 4, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Fred Stanke, Holger Tuitje, Shigeru Nagano