By Configuration Comparison Patents (Class 356/388)
  • Publication number: 20080204875
    Abstract: A microlithographic projection exposure apparatus is disclosed. The apparatus can have an illumination system for generating projection light, an absorption filter which has a varying absorption coefficient distribution, and a mask which is illuminated by the projection light. The mask can contain regions that differ from one another by the orientation of structures contained in them and whose transmissivity depends on the polarization state of the incident projection light. The absorption coefficient distribution of the absorption filter is determined so as to compensate at least partially for the dependence of the transmissivity of the region on the polarization state of the incident projection light.
    Type: Application
    Filed: March 24, 2008
    Publication date: August 28, 2008
    Applicant: Carl Zeiss SMT AG
    Inventor: Tilmann Heil
  • Patent number: 7368745
    Abstract: A star pattern recognition system (1) comprises an optical filter arrangement (10) in the form of an array (12) of independently tiltable mirrors (M1), (M2). Light from a distant starfield (2) is incident upon the mirror array (12). Each mirror (M1), (M2) reflects a respective image of the starfield, and these images are brought to a common overlapping focus at a detector (18) by a parabolic mirror (14). The mirrors M1, M2 are tilted relative to each other such that when a given star pattern to be recognised is present in the field of view of the filter, each mirror reflects the image of a different star in the pattern onto a common point on a detector (18), thereby providing a detectable output intensity peak that indicates the presence of the star pattern in the field of view of the filter arrangement.
    Type: Grant
    Filed: February 27, 2003
    Date of Patent: May 6, 2008
    Assignee: University of Sussex
    Inventors: Aristodimos Kouris, Christopher Reginald Chatwin
  • Publication number: 20080037001
    Abstract: [Problem] A biometric identification apparatus that can accurately and rapidly perform liveness detection with a simple structure. [Solution] The apparatus includes a plurality of light sources 102, 103, 106, and 107, each having a wavelength different from one another, for emitting light to a finger 200 as an object to be identified, and receivers 104 and 105 for detecting the light passing through the finger. The ratio of the light emitted from the light sources to the light detected with the receivers is obtained as transmittance. Determination in liveness detection is made by comparing the transmittance with a previously-set threshold of transmittance.
    Type: Application
    Filed: January 29, 2007
    Publication date: February 14, 2008
    Inventors: Shingo Yokoyama, Tadayuki Abe, Hiromi Sugo, Shoichi Sato
  • Patent number: 7327476
    Abstract: A method and system are presented for use in controlling the processing of a structure. First measured data is provided being indicative of at least one of the following: a thickness (d2) of at least one layer (L2) of the structure W in at least selected sites of the structure prior to the processing of the structure, and a surface profile of the structure prior to said processing. An optical measurement is applied to at least the selected sites of the structure after said processing and second measured data is generated being indicative of at least one of the following: a thickness of the processed structure (d?) and a surface profile of the processed structure, The second measured data is analyzed by interpreting it using the first measured data to thereby determine a thickness (d?1 or d?2) of at least one layer of the processed structure. This determined thickness is thus indicative of the quality of said processing.
    Type: Grant
    Filed: June 26, 2003
    Date of Patent: February 5, 2008
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Yoel Cohen, Moshe Finarov, Klara Vinokur
  • Patent number: 7307715
    Abstract: The structure size of a structure (100) is measured by forming an auxiliary measured value (Dx?, Dy?). A calibration measured value (Px?, Py?) is determined on the basis of a calibration structure (110), which comprises at least two structure elements (140) at a distance from one another, including at least the measured value sum of the width (By?) of one of the two structure elements (140) and the distance (Ay?) between the two structure elements (140). The calibration measured value (Px?, Py?) and a predetermined calibration preset value (Px, Py), which relates to the calibration structure (110), result in the determination of a calibration factor (C, Cx, Cy). The auxiliary measured value (Dx?, Dy?) is corrected using the calibration factor (C, Cx, Cy) in order to form the structure size measured value (Dx,k; Dy,k).
    Type: Grant
    Filed: April 22, 2005
    Date of Patent: December 11, 2007
    Assignee: Infineon Technologies AG
    Inventor: Christian Rotsch
  • Patent number: 6969836
    Abstract: A method of determining the leading edge (E) of a turbine blade or airfoil (P). The object is mounted in a desired position and backlit using a light source (S). The object and its leading edge are viewed using one or more cameras (I) which are positioned on the opposite side of the part. When illuminated, the object blocks a portion of the light from the source and the occluded portion of the light defines an outline (T) of the object including its leading edge. An image of the object is obtained and the part is then moved to other positions at which additional images are obtained. The images are processed using a space carving algorithm to ascertain the contour of the leading edge of the object. This allows accurate measurements of the leading edge to be made for using in determining acceptability of the part.
    Type: Grant
    Filed: October 25, 2002
    Date of Patent: November 29, 2005
    Assignee: General Electric Company
    Inventors: Geoffrey Mark Cross, Peter Henry Tu
  • Patent number: 6798515
    Abstract: The disclosed methods and apparatuses leverage a known value of a characteristic of an object to partially calibrate an imaging system “on-the-fly”, and minimize, if not eliminate, the need for a separate calibration image(s). Specifically, the scale relationship (i.e. the relationship between physical dimensions and image dimensions) is calculated using the known value and a measured value of the characteristic from the image. The same image used to calculate the scale relationship is also processed, such as inspected, for example. The known value can be a measurement of an aspect of many things, including an inherent feature, or a relationship between features, for example. One embodiment uses a model to find the characteristic. A described preferred embodiment inspects an end-face of a fiber-optic cable, wherein the known value is the diameter of an annular cladding of the fiber-optic cable.
    Type: Grant
    Filed: November 29, 2001
    Date of Patent: September 28, 2004
    Assignee: Cognex Technology and Investment Corporation
    Inventors: Ivan Bachelder, Adam Wagman
  • Patent number: 6765282
    Abstract: A semiconductor structure and a method of determining an overlay error produced during formation of the semiconductor structure are disclosed. The semiconductor structure comprises a first two-dimensional periodic pattern and a second two-dimensional periodic pattern, which overlap with each other, wherein a relative position between the overlapping first and second two-dimensional periodic patterns indicates the magnitude and direction of an overlay error caused during the formation of the first and second two-dimensional periodic patterns. The semiconductor allows one to independently determine the overlay errors in linearly independent directions by directing a light beam of known optical properties onto the first and second two-dimensional periodic patterns and by analyzing the diffracted beam by comparison with reference data.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: July 20, 2004
    Assignee: Advanced Micro Devices, Inc.
    Inventor: Bernd Schulz
  • Patent number: 6756241
    Abstract: A manufacturing method of a semiconductor device to perform processing, including pre-processing and post-processing, on a semiconductor substrate, a characteristic of the processed semiconductor substrate is inspected, whether the semiconductor substrate complies with a predetermined standard is judged, and a semiconductor substrate not complying with the standard is re-processed so that the semiconductor substrate complies with the standard.
    Type: Grant
    Filed: December 27, 2001
    Date of Patent: June 29, 2004
    Assignee: Renesas Technology Corp.
    Inventors: Tomohiro Hosokawa, Satoshi Shimizu
  • Patent number: 6734970
    Abstract: A method and a device for determining the resistance of an optical material to radiation damage, wherein several sample volumes (1a, 1b; 1211-1233) within the optical material are simultaneously irradiated with test radiation having differing, measured or preset radiant-energy densities. The radiation employed for all sample volumes comes from a common radiation source (3; 13) and at least one parameter indicative of the resistances to radiation damage of the irradiated sample volumes is measured using measuring radiation. The measuring radiation also comes from the same radiation source that supplies the test radiation and the material's resistance to radiation damage is determined based on a functional relation between its radiation-damage-resistance parameter and the radiant-energy densities, wherein that functional relation is determined using the values of the radiation-damage-resistance parameters measured for the various sample volumes for the various radiant-energy densities employed.
    Type: Grant
    Filed: August 21, 2002
    Date of Patent: May 11, 2004
    Assignee: Carl Zeiss Semiconductor Manufacturing Technologuies AG
    Inventor: Hexin Wang
  • Patent number: 6704107
    Abstract: A method and apparatus for detection of a particular material, such as photoresist material, on a sample surface. A narrow beam of light is projected onto the sample surface and the fluoresced and/or reflected light intensity at a particular wavelength band is measured by a light detector. The light intensity is converted to a numerical value and transmitted electronically to a logic circuit which determines the proper disposition of the sample. The logic circuit controls a sample-handling robotic device which sequentially transfers samples to and from a stage for testing and subsequent disposition. The method is particularly useful for detecting photo-resist material on the surface of a semiconductor wafer.
    Type: Grant
    Filed: November 4, 1997
    Date of Patent: March 9, 2004
    Assignee: Micron Technology, Inc.
    Inventors: Mark Eyolfson, Elton J. Hochhalter, Joe Lee Phillips, David R. Johnson, Peter S. Frank
  • Patent number: 6671423
    Abstract: A reference space includes a plurality of reference graphics. An image space is obtained by imaging the reference space. Affine transformation series are determined from the reference and image spaces for transcribing graphic cores of imaged graphics on graphic cores of reference graphics. If an affine-transformed positional error falls outside a tolerance, the reference space is divided into sub-spaces. Affine transformations and space divisions are repeated for out-of-tolerance sub-spaces until their estimations of distortion finally fall inside the tolerance.
    Type: Grant
    Filed: October 26, 2000
    Date of Patent: December 30, 2003
    Assignee: Mitutoyo Corporation
    Inventors: Ikumatsu Fujimoto, Kiyokazu Okamoto
  • Publication number: 20030223065
    Abstract: A method and a device for determining the resistance of an optical material to radiation damage, wherein several sample volumes (1a, 1b; 1211-1233) within the optical material are simultaneously irradiated with test radiation having differing, measured or preset radiant-energy densities. The radiation employed for all sample volumes comes from a common radiation source (3; 13) and at least one parameter indicative of the resistances to radiation damage of the irradiated sample volumes is measured using measuring radiation. The measuring radiation also comes from the same radiation source that supplies the test radiation and the material's resistance to radiation damage is determined based on a functional relation between its radiation-damage-resistance parameter and the radiant-energy densities, wherein that functional relation is determined using the values of the radiation-damage-resistance parameters measured for the various sample volumes for the various radiant-energy densities employed.
    Type: Application
    Filed: August 21, 2002
    Publication date: December 4, 2003
    Applicant: CARL ZEISS SEMICONDUCTOR MANUFACTURING TECHNOLOGIES AG
    Inventor: Hexin Wang
  • Patent number: 6643017
    Abstract: A method and measuring tool are presented for automatic control of photoresist-based processing of a workpiece progressing through a processing tool arrangement. Spectrophotometric measurements are applied to the workpiece prior to being processed, spectral characteristics of the workpiece are measured, thereby obtaining measured data indicative of at least one parameter of the workpiece that defines an optimal value of at least processing time parameter of the processing tool to be used in the processing of said workpiece to obtain certain process results. This data is analyzed to determine data indicative of the optimal value of said at least processing time parameter, and thereby enable calculation of a correction value to be applied to said processing time parameter prior to applying the processing tool to the workpiece.
    Type: Grant
    Filed: July 1, 2002
    Date of Patent: November 4, 2003
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Yoel Cohen, Moshe Finarov
  • Patent number: 6603529
    Abstract: An apparatus for processing substrates according to a predetermined photolithography process is presented. The apparatus includes a loading station in which the substrates are loaded, a coating station in which the substrates are coated with a photoresist material, an exposing station in which the photoresist coating is exposed to light through a mask having a predetermined pattern to produce a latent image of the mask on the photoresist coating, a developing station in which the latent image is developed, an unloading station in which the substrates are unloaded and a monitoring station for monitoring the substrates with respect to predetermined parameters of said photolithography process before reaching the unloading station. The monitoring station comprises an optical monitoring system comprising a spectrophotometric channel, and is accommodated in a sealed enclosure, such that incident light passes through the optical system towards the substrate through a transparent window.
    Type: Grant
    Filed: November 14, 2000
    Date of Patent: August 5, 2003
    Assignee: Nova Measuring Instruments Ltd.
    Inventor: Moshe Finarov
  • Patent number: 6545764
    Abstract: Topographic analysis apparatus consists of a light source (1) and associated optics (2) for illuminating a mirrored surface O with a parallel light beam. Light reflected from the mirrored surface is collected by a camera (4) that is mounted on a moveable carriage (5) so that images of the mirrored surface O may be recorded at a plurality of different distances from the surface. The Makyoh topograms produced using this apparatus are then analyzed using phase extraction software to iteratively determine the profile of the surface of the object and the object's reflectivity. In this way Makyoh topograms may be used for quantitative as well as qualitative analysis of a reflective surface such as a semiconductor wafer.
    Type: Grant
    Filed: July 20, 2001
    Date of Patent: April 8, 2003
    Assignee: ISIS Innovation Limited
    Inventor: Zsolt John Laczik
  • Patent number: 6538739
    Abstract: The present invention is intended as a means of diagnosing the presence of a gas bubble and incorporating the information into a feedback system for opto-acoustic thrombolysis. In opto-acoustic thrombolysis, pulsed laser radiation at ultrasonic frequencies is delivered intraluminally down an optical fiber and directed toward a thrombus or otherwise occluded vessel. Dissolution of the occlusion is therefore mediated through ultrasonic action of propagating pressure or shock waves. A vapor bubble in the fluid surrounding the occlusion may form as a result of laser irradiation. This vapor bubble may be used to directly disrupt the occlusion or as a means of producing a pressure wave. It is desirable to detect the formation and follow the lifetime of the vapor bubble. Knowledge of the bubble formation and lifetime yields critical information as to the maximum size of the bubble, density of the absorbed radiation, and properties of the absorbing material.
    Type: Grant
    Filed: September 30, 1997
    Date of Patent: March 25, 2003
    Assignee: The Regents of the University of California
    Inventors: Steven R. Visuri, Beth M. Mammini, Luiz B. Da Silva, Peter M. Celliers
  • Patent number: 6512584
    Abstract: A method of testing the operation of a laser peening system includes providing a sensor in a possible laser beam path, applying a transparent overlay material to the sensor, directing a pulse of coherent energy to the sensor through the transparent overlay material to create a shock wave, and determining a characteristic of the created shock wave with the sensor.
    Type: Grant
    Filed: June 29, 1998
    Date of Patent: January 28, 2003
    Assignee: LSP Technologies, Inc.
    Inventors: Mark E. O'Loughlin, Allan H. Clauer, David W. Sokol, Jeffrey L. Dulaney, Steven M. Toller
  • Patent number: 6501549
    Abstract: A method and associated apparatus for measuring chemical concentration in a liquid sample based on spatial separation and resolution of light is disclosed. The method is preferably applied to sensitive, quantitative, luminescence-based biosensors which reads the analyte concentration via spatial distribution of the emitted light. The detection of light is used to assess the spatial position, rather than the intensity or wavelength, of emitted light. A bioluminescent or chemiluminescent reaction requiring, for example, ATP, NADPH or NADH as a specific, and sensitive co-factor is used. ATP or NADH concentration is modulated, “tuned,” and/or regulated via, for example, an enzyme which consumes (“consumase”) ATP, NADPH, or NADH, thereby producing a spatial distribution of ATP or NADH and a spatial distribution in the emitted light.
    Type: Grant
    Filed: December 3, 1998
    Date of Patent: December 31, 2002
    Assignee: University of Utah Research Foundation
    Inventors: Joseph D. Andrade, Chung-Yih Wang, Vladimir Hlady, Philip M. Triolo, Robert J. Scheer
  • Patent number: 6498648
    Abstract: Procedure for taking a reference measurement employing an optical device which comprises the following: an illuminating means having a source of radiation, its light being directed at a predetermined angle to a surface to be measured; a first optical measuring means which is aligned at a predetermined angle to said measurement surface and which receives a portion of the light reflected from said measurement surface and which comprises a photo sensor which emits a measurement signal characteristic of said reflected light; a second optical measuring means which is aligned at a predetermined angle to said measurement surface and which receives a portion of the light reflected from said measurement surface and which comprises a photo sensor which emits an electrical measurement signal characteristic of said reflected light; a control and evaluation means having a processor and memory means for controlling the measurement sequence and evaluating the measurement results which are then output via an output means.
    Type: Grant
    Filed: September 22, 2000
    Date of Patent: December 24, 2002
    Assignee: BYK-Gardner GmbH
    Inventor: Peter Schwarz
  • Patent number: 6452677
    Abstract: The invention provides a unique method and apparatus for detecting defects in an electronic device. In one preferred embodiment, the electronic device is a semiconductor integrated circuit (IC), particularly one of a plurality of IC dies fabricated on a wafer of silicon or other semiconductor material. The defect detection operation is effectuated by a unique combination of critical dimension measurement and pattern defect inspection techniques. During the initial scan of the surface of the wafer, in an attempt to locate the appropriate area for a critical dimension (CD) feature or element that is to be measured, a “best fit” comparison is made between a reference image and scanned images. The critical dimension measurements are conducted on a “best fit” image. In addition, a “worst fit” comparison is made between the reference and scanned images. A “worst fit” determination represents pattern distortions or defects in the ICs under evaluation.
    Type: Grant
    Filed: February 13, 1998
    Date of Patent: September 17, 2002
    Assignee: Micron Technology Inc.
    Inventors: Douglas Do, Ted Taylor
  • Patent number: 6424417
    Abstract: A method and a system are presented for automatic optical control of at least one working parameter of a processing tool to be applied to a working area of a workpiece for providing certain process results. The at least one working parameter of the processing tool affects at least one parameter of the workpiece under processing. The processing tool has a preset value of the at least one working parameter prior to the processing of the workpiece. A measuring tool is applied to the workpiece prior to its processing by the processing tool for measuring the at least one parameter of the workpiece and generating measured data representative thereof. The measured data is analyzed with respect to the preset value of the working parameter and to the process results, so as to determine whether the preset value should be corrected for providing the certain process results when applying the processing tool to said workpiece. Upon detecting that the preset value should be corrected, calculating a correction value.
    Type: Grant
    Filed: December 7, 1998
    Date of Patent: July 23, 2002
    Assignee: Nova Measuring Instruments Ltd.
    Inventors: Yoel Cohen, Moshe Finarov
  • Publication number: 20020075481
    Abstract: A system for reading a two-dimensional image, and for comparing the two-dimensional image to stored data representative of a known image. The optical scanning device comprises a sensor for capturing the two-dimensional image, which sensor includes a light source for projecting an emitted light towards the two-dimensional image and an optical assembly for focussing light, which may be ambient and or emitted light from the light source, reflected from the framed two-dimensional image onto a CMOS or CCD detector for detecting the focussed light, the detector including a photodiode array for sensing the focussed light and generating a signal therefrom.
    Type: Application
    Filed: January 2, 2002
    Publication date: June 20, 2002
    Inventor: Alexander R. Roustaei
  • Patent number: 6396942
    Abstract: A ball grid array inspection and location method and apparatus includes a raw feature finding processor which uses a feature finding algorithm to find ball features (irrespective of number) and generate a list of raw features as an X and Y location for each feature located. An angle estimation processor receives the list of raw features, a user estimated orientation angle, and ball-to-ball pitch information and determines an estimated grid angle. An on-grid check processor receives the estimated grid angle, the list of raw features and the ball-to-ball pitch information and produces a set or list of on-grid features in the form of a list X and Y translation parameters for each feature/ball found on-grid.
    Type: Grant
    Filed: May 10, 2000
    Date of Patent: May 28, 2002
    Assignee: Cognex Corporation
    Inventors: Yian Leng Chang, Nigel John Foster, Jane Alice Loizeaux
  • Patent number: 6381013
    Abstract: A test slide for the calibration, characterization, standardization, use and study of photon and electron microscopes. The slide is created by forming patterns with specific types of geometries on suitable substrates and these slides provide a standard for comparison of image forming capability of any type of microscope imaging system including, without limitation, light, UV, and X-ray photon microscopical imaging systems operating in transmission or reflection modes, and other microscope techniques. Microscopists can employ one of these slides to compare images of the slide which have been produced by the microscope system under consideration with a known, accurate, image of the slide to better understand the fidelity and accuracy of the microscope system under consideration. The test patterns can also comprise reference images which can be images created by a graphic artist or the like or which can be actual images of samples, these images being either two dimensional or three dimensional.
    Type: Grant
    Filed: June 25, 1997
    Date of Patent: April 30, 2002
    Assignee: Northern Edge Associates
    Inventor: Timothy M. Richardson
  • Patent number: 6373576
    Abstract: A method for non-destructively testing for the concentration of a component of a film that is used for doping a region of a semiconductor wafer uses an image histogram of the light reflected from an array of points on the film and the underlying substrate. The image histogram has peaks that are characteristic of the composition of the film. Tests are run to establish the image histogram peaks for a film with a normal concentration of the components and for films with low and high concentrations. When the same test is made for the film of a production wafer, the concentration of the component is readily classified as normal, high, or low.
    Type: Grant
    Filed: December 13, 1999
    Date of Patent: April 16, 2002
    Assignee: Taiwan Semiconductor Manufacturing Company
    Inventor: Jiunn Der Yang
  • Publication number: 20020033954
    Abstract: The diffraction of electromagnetic radiation from periodic grating profiles is determined using rigorous coupled-wave analysis, with intermediate calculations cached to reduce computation time. To implement the calculation, the periodic grating is divided into layers, cross-sections of the ridges of the grating are discretized into rectangular sections, and the permittivity, electric fields and magnetic fields are written as harmonic expansions along the direction of periodicity of the grating. Application of Maxwell's equations to each intermediate layer, i.e., each layer except the atmospheric layer and the substrate layer, provides a matrix wave equation with a wave-vector matrix A coupling the harmonic amplitudes of the electric field to their partial second derivatives in the direction perpendicular to the plane of the grating, where the wave-vector matrix A is a function of intra-layer parameters and incident-radiation parameters.
    Type: Application
    Filed: January 25, 2001
    Publication date: March 21, 2002
    Inventors: Xinhui Niu, Nickhil Harshavardhan Jakatdar
  • Patent number: 6336052
    Abstract: An interface apparatus for use with a computer system. The apparatus in the preferred embodiment having three concentric annular rings. The outer most of which is a solid ring, the two inner rings each being segmented into for equal arcs, which arcs form four pairs of two buttons each. The interface also has at its center two buttons. The interface is used to position an object for imaging and also to manipulate and position the image of an object for comparison and analysis. The two center buttons and the outside annular ring are used to generate signals to rotate an object or the image of the object in a common reference frame. The four pairs of arcs of the two inner concentric rings are used to generate signals for translational motion of the object or the image of the object. The four pairs of arcs being positioned to move the object or the image of the object in one of four perpendicular directions within the common reference frame.
    Type: Grant
    Filed: July 8, 1998
    Date of Patent: January 1, 2002
    Assignee: Forensic Technology Wai Inc.
    Inventors: Jean-François Ouellet, Patrick Rannou
  • Patent number: 6326606
    Abstract: The level of a laser beam is controlled to the maximum level detectable by a sensor, and light transmitted through the pattern is normalized with branched light. The laser beam level is made up for variations, and noise at zero and saturation levels are removed. Data thus can be taken out, in which noise in edge portions is always at a constant level. It is thus possible to increase the pattern image accuracy and obtain sharper image.
    Type: Grant
    Filed: December 15, 2000
    Date of Patent: December 4, 2001
    Assignee: NEC Corporation
    Inventor: Katsunori Nagamatsu
  • Patent number: 6271022
    Abstract: The present invention provides easy-to-use, adaptable, and convenient solutions to a heretofore unmet need for instruments that can incubate and kinetically monitor assays, especially multiwell assays. In particular, the present invention finds use in monitoring assays contained within various test formats, including, but not limited to microtiter plates, miniaturized test panels (e.g., MICROCARDS™), and petri plates.
    Type: Grant
    Filed: March 26, 1999
    Date of Patent: August 7, 2001
    Assignee: Biolog, Inc.
    Inventor: Barry Bochner
  • Patent number: 6222630
    Abstract: A system for inspecting potentially warped printed circuit board assemblies is disclosed. The system includes an inspection head with an axial, centrally located camera, and a laser disposed at an angle off the central axis. The central camera and the angled laser can be used during an initial scan of a printed circuit board assembly to measure and compensate for warp in the assembly, thereby making a subsequent inspection of the assembly more accurate.
    Type: Grant
    Filed: August 26, 1998
    Date of Patent: April 24, 2001
    Assignee: Teradyne, Inc.
    Inventor: Harold Wasserman
  • Patent number: 6211505
    Abstract: The level of a laser beam is controlled to the maximum level detectable by a sensor, and light transmitted through the pattern is normalized with branched light. The laser beam level is made up for variations, and noise at zero and saturation levels are removed. Data thus can be taken out, in which noise in edge portions is always at a constant level. It is thus possible to increase the pattern image accuracy and obtain sharper image.
    Type: Grant
    Filed: December 22, 1998
    Date of Patent: April 3, 2001
    Assignee: NEC Corporation
    Inventor: Katsunori Nagamatsu
  • Patent number: 6208418
    Abstract: A method for characterizing a sample comprising the steps of depositing the sample on a substrate, measuring a first change in optical response of the sample, changing the lateral strain of the sample, measuring a second change in optical response of the sample, comparing the second change in optical response of with the first change in optical response and associating a difference between the second change and the first change in optical response with a property of interest in the sample. The measurement of the first change in optical response is made with the sample having an initial lateral strain. The measurement of the second change in optical response is made after the lateral strain in the sample is changed from the initial lateral strain to a different lateral strain. The second change in optical response is compared to the first change in optical response to find the difference between the second change and the first change.
    Type: Grant
    Filed: February 10, 2000
    Date of Patent: March 27, 2001
    Assignee: Brown University Research Foundation
    Inventor: Humphrey J. Maris
  • Patent number: 6184987
    Abstract: A process for laser welding a ferrule of a fiber optic cable to a clip of a fiber module. The process can detect and correct a movement of the fiber optic cable so that the fiber is aligned with a light source such as a laser diode. The detection method includes the steps of mechanically moving the ferrule with an automated device after a weld is completed and then detecting a change in optical power of a light beam that is transmitted through the fiber optic cable. The detection can determine a direction that the ferrule shifted during the weld process. The shift of the ferrule can be corrected by subsequent laser welds of the ferrule and clip. The power and time duration of the laser welds can be determined from an empirically derived look-up table. The entire process of detection and correction can be automated.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: February 6, 2001
    Assignee: Newport Corporation
    Inventors: Soon Jang, Randy Heyler
  • Patent number: 6175417
    Abstract: The invention provides a unique method and apparatus for detecting defects in an electronic device. In one preferred embodiment, the electronic device is a semiconductor integrated circuit (IC), particularly one of a plurality of IC dies fabricated on a wafer of silicon or other semiconductor material. The defect detection operation is effectuated by a unique combination of critical dimension measurement and pattern defect inspection techniques. During the initial scan of the surface of the wafer, in an attempt to locate the appropriate area for a critical dimension (CD) feature or element that is to be measured, a “best fit” comparison is made between a reference image and scanned images. The critical dimension measurements are conducted on a “best fit” image. In addition, a “worst fit” comparison is made between the reference and scanned images. A “worst fit” determination represents pattern distortions or defects in the ICs under evaluation.
    Type: Grant
    Filed: March 22, 2000
    Date of Patent: January 16, 2001
    Assignee: Micron Technology, Inc.
    Inventors: Douglas Do, Ted Taylor
  • Patent number: 6151406
    Abstract: A ball grid array inspection and location method and apparatus includes a raw feature finding processor which uses a feature finding algorithm to find ball features (irrespective of number) and generate a list of raw features as an X and Y location for each feature located. An angle estimation processor receives the list of raw features, a user estimated orientation angle, and ball-to-ball pitch information and determines an estimated grid angle. An on-grid check processor receives the estimated grid angle, the list of raw features and the ball-to-ball pitch information and produces a set or list of on-grid features in the form of a list X and Y translation parameters for each feature/ball found on-grid.
    Type: Grant
    Filed: October 9, 1997
    Date of Patent: November 21, 2000
    Assignee: Cognex Corporation
    Inventors: Yian Leng Chang, Nigel John Foster, Jane Alice Loizeaux
  • Patent number: 6100970
    Abstract: A photomask defect inspection method is provided by which defects of pin holes with the diameter equal to or less than 0.35 .mu.m can be detected with certainty. According to the inspection method, a pattern whose image is projected onto an imaging position by the use of illumination light (P1) for exposure consists of light transmitting portions (41) formed on a glass base (2) and light intercepting portions (42) which transmit part of the illumination light (P1) in such a way that a phase of the part of the illumination light (P1) passing through the light intercepting portions (42) is delayed with respect to a phase of the illumination light (P1) passing through the light transmitting portions (41).
    Type: Grant
    Filed: January 22, 1998
    Date of Patent: August 8, 2000
    Assignees: Kabushiki Kaisha Topcon, Kabushiki Kaisha Toshiba
    Inventors: Hisakazu Yoshino, Akihiko Sekine, Toru Tojo, Mitsuo Tabata
  • Patent number: 6075607
    Abstract: A method is provided for estimating durability of an optical member against pulsed excimer laser beam irradiation. The method includes the steps of (a) irradiating a test sample for the optical member with a pulsed excimer laser beam to induce changes in transparency of the test sample with respect to the pulsed excimer laser beam, the irradiation being performed for such a time period as to cover not only a linear region and a saturation region and (b) measuring changes in the transparency of the test sample with respect to the pulsed excimer laser beam as a function of the cumulative number of the excimer laser pulses that have irradiated the test sample in step (a).
    Type: Grant
    Filed: October 6, 1998
    Date of Patent: June 13, 2000
    Assignee: Nikon Corporation
    Inventors: Hiroki Jinbo, Norio Komine, Seishi Fujiwara
  • Patent number: 6069702
    Abstract: The present invention includes an apparatus configured for identification of a material, and methods of identifying a material. One embodiment of the invention provides an apparatus including a first region configured to receive a first sample, the first region being configured to output a first spectrum corresponding to the first sample and responsive to exposure of the first sample to radiation; a modulator configured to modulate the first spectrum according to a first frequency; a second region configured to receive a second sample, the second region being configured to output a second spectrum corresponding to the second sample and responsive to exposure of the second sample to the modulated first spectrum; and a detector configured to detect the second spectrum having a second frequency greater than the first frequency.
    Type: Grant
    Filed: December 8, 1998
    Date of Patent: May 30, 2000
    Assignee: Lockheed Martin Idaho Technologies Company
    Inventors: John M. Slater, Thomas M. Crawford
  • Patent number: 6067163
    Abstract: The invention provides a process for evaluating a substrate, such as a wafer of semiconductive material having a semiconductor die at least partially formed thereon, as to the condition of an overlying film, such as an overlying film of photoresist that is applied to the semiconductor die prior to metal etching and ion implantation. The condition of the film is evaluated by exposing at least a portion of the substrate to electromagnetic radiation and evaluating the wave profile of the reflected beam.In instances where it is desirable to evaluate the substrate for the presence or absence or photoresist, ultraviolet, or near ultraviolet light having a wavelength of about 240-650 nm can be used, as such wavelengths are strongly absorbed by photoresist. In contrast, areas of the substrate that are not covered by photoresist will not significantly absorb ultraviolet or near ultraviolet radiation.
    Type: Grant
    Filed: October 15, 1996
    Date of Patent: May 23, 2000
    Assignee: Texas Instruments Incorporated
    Inventors: Richard L. Guldi, Douglas E. Paradis
  • Patent number: 6052193
    Abstract: A wafer loading-state inspection apparatus includes a transmissive wafer sensor including a light emitter and a light detector. The light emitter is spaced apart from the light detector in a horizontal plane by a predetermined separation distance. The wafer sensor generates wafer pulses indicating whether a wafer is detected between the light emitter and the light detector. A wafer sensor support has a first arm connected to the light emitter and a second arm connected to the light detector. A vertically oriented post is connected to the wafer sensor support at one end. Connected at the other end of the post is a driving mechanism which produces a reciprocating vertical movement of the post over a vertical range.
    Type: Grant
    Filed: July 15, 1998
    Date of Patent: April 18, 2000
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Yong-cho Kim, Hee-chan Jung
  • Patent number: 6040916
    Abstract: In a process for determining the condition of a road surface, light is beamed onto the road surface and backscattered light is detected and spectrally analyzed. The light beam contains two light fractions, one having wavelength range which is not significantly absorbed by water/ice and another having a wavelength range which is significantly absorbed by these materials. The first light fraction contains light of several different wavelengths and the spectral analysis includes the determination of an approximation reference curve for the spectral plots of the backscattered light when the road is dry. This is accomplished by using the spectral data of the backscattered light fraction in the wavelength range without significant absorption, and the determination of the difference between the spectral data of the backscattered-light fraction in the other wavelength range and the corresponding data of the approximation reference curve for at least one wavelength.
    Type: Grant
    Filed: August 20, 1998
    Date of Patent: March 21, 2000
    Assignee: DaimlerChrysler AG
    Inventor: Manfred Griesinger
  • Patent number: 6025918
    Abstract: A method for characterizing a sample comprising the steps of depositing the sample on a substrate, measuring a first change in optical response of the sample, changing the lateral strain of the sample, measuring a second change in optical response of the sample, comparing the second change in optical response of with the first change in optical response and associating a difference between the second change and the first change in optical response with a property of interest in the sample. The measurement of the first change in optical response is made with the sample having an initial lateral strain. The measurement of the second change in optical response is made after the lateral strain in the sample is changed from the initial lateral strain to a different lateral strain. The second change in optical response is compared to the first change in optical response to find the difference between the second change and the first change.
    Type: Grant
    Filed: July 7, 1998
    Date of Patent: February 15, 2000
    Assignee: Brown University Research Foundation
    Inventor: Humphrey J. Maris
  • Patent number: 6023335
    Abstract: The invention relates to an optoelectronic sensor comprising a light transmitter for the transmission of light signals into a monitored region, and also a light receiver for the reception of light signals transmitted by the light transmitter, wherein an evaluation circuit which is directly or indirectly acted on by the light receiver is provided for the production of an article detection signal in the case when an object is present in the monitored region. The light receiver is formed as a spatially resolving receiver element for the determination of the position of the center of intensity of the received light in the region of the light sensitive area of the receiver element. A memory element which co-operates with the evaluation circuit is provided for the storage of at least desired position of the center of intensity of the received light.
    Type: Grant
    Filed: April 29, 1998
    Date of Patent: February 8, 2000
    Assignee: Sick AG
    Inventor: Martin Wustefeld
  • Patent number: 6018394
    Abstract: An apparatus and method for imaging fired bullets and/or cartridges for forensic examination, which facilitate mounting of the ammunition to be imaged. The apparatus comprises a mounting support including a fired bullet holding device and a fired cartridge holding device, a microscope, an illumination device and a mechanical device for selectively aligning the optical axis of the microscope with a bullet section or the longitudinal axis of the cartridge showing its head surface. The bullet holding device comprises a rotary member extending through a mounting support and ending with an adjustable bullet holder for maintaining the bullet in a desired angular orientation with respect to a transverse reference plane aligned with the optical axis of the microscope, while the rotary member is being rotated about its rotation axis to scan the imaged surface area.
    Type: Grant
    Filed: April 17, 1998
    Date of Patent: January 25, 2000
    Assignee: Forensic Technologies Wai Inc.
    Inventor: Roman Baldur
  • Patent number: 6016201
    Abstract: An inspection method for a correction pattern includes the following steps. An optical proximity correction is performed to an original pattern to obtain an optical proximity correction pattern. An "exclusive or" logic operation is done to the original pattern and the optical correction pattern to obtain an inspection pattern. The inspection pattern includes a number of kinds of line width sizing. The line width sizing of the inspection pattern is then compared with an optical correction reference size.
    Type: Grant
    Filed: August 17, 1998
    Date of Patent: January 18, 2000
    Assignee: United Microelectronics Corp.
    Inventors: Chin-Lung Lin, Yao-Ching Ku
  • Patent number: 5995231
    Abstract: The present invention provides a method for altering the light traveling distance in an optical scanning module using transparent glass. The optical scanning module comprises a transparent glass module that refracts light to alter the traveling distance of the light as it passes from the document to the optical sensing device. The proper thickness of this transparent glass module is specifically chosen to correctly calibrate the error of the traveling distance of the light created during the manufacturing of the scanner.
    Type: Grant
    Filed: November 3, 1998
    Date of Patent: November 30, 1999
    Assignee: Mustek Systems Inc.
    Inventors: Jern-Tsair Tsai, Bill Chen, Te-Chih Chang
  • Patent number: 5986764
    Abstract: A distance measurement device of the present invention detects a subject image with separation from the background and determines the distance to the subject regardless of backlit or forelit situations. In the distance measurement device, the subject is imaged onto two sensor arrays through different optical paths having parallax. The displacement of one of image signals output from the sensor arrays relative to the other is detected to determine the distance to the subject. On the basis of output signals of the sensor arrays, an object detector unit in a CPU determines a main area in the entire area of each sensor array which is occupied by the main subject image. On the basis of output signals from the main area in each sensor array detected by the object detector unit, a correlation operation unit calculates the displacement of the subject image on one sensor array relative to the subject image on the other sensor array.
    Type: Grant
    Filed: May 11, 1998
    Date of Patent: November 16, 1999
    Assignee: Olympus Optical Co., Ltd.
    Inventor: Osamu Nonaka
  • Patent number: 5953112
    Abstract: In a method and apparatus for evaluating the performance characteristics of fiber-optic endoscopes, a beam of light defining a predetermined intensity pattern is transmitted through the endoscope from a tip end through an eyepiece end of the endoscope. The intensity pattern of the beam defines either a uniform intensity, or an intensity which varies sinusoidally in a predetermined direction across the beam. The following tests are performed in order to evaluate both the optical fibers and the lens system of the endoscope, and the intensity pattern is selected in accordance with the requirements of the respective test: (i) a light loss test, (ii) a reflective symmetry test, (iii) a lighted fibers test, (iv) a geometric distortion test, and (v) a MTF test. A video system generates signals indicative of the optical intensity of the beam after transmission through the endoscope at each of a plurality of predetermined locations within the beam.
    Type: Grant
    Filed: March 20, 1997
    Date of Patent: September 14, 1999
    Assignee: Hartford Hospital
    Inventors: Eric Rosow, Finton Beatrice, Joseph Adam, Curtis Youngdahl
  • Patent number: RE37392
    Abstract: The apparatus has a fired cartridge mounting device for holding the cartridge substantially aligned with a longitudinal axis with a primer surface of the cartridge substantially perpendicular to the axis, a cartridge microscope mounted with its optical axis substantially parallel to the longitudinal axis, a focusing mechanism for focusing the microscope to image a breech face impression on the primer surface and a firing pin impression surface in the primer surface, and an axisymmetric light source mounted to project axially symmetric light onto the breech face impression and the firing pin impression surface about the longitudinal axis. Images of the breech face and the firing pin impressions can be used for comparative analysis independently of an angular orientation of the cartridge held by the mounting device.
    Type: Grant
    Filed: June 14, 1999
    Date of Patent: September 25, 2001
    Assignee: Forensic Technology Wai Inc.
    Inventor: Roman Baldur