Measuring External Leads Patents (Class 382/146)
  • Patent number: 11802763
    Abstract: The purpose of the present invention is to provide a pattern measurement device that achieves both high-throughput measurement using a small number of measurements and high-accuracy measurement that uses statistical processing. To accomplish this purpose, the present invention proposes a pattern measurement device provided with a calculation processing device that acquires the signal intensity distribution for a plurality of positions included in a scanning region from a signal obtained through beam scanning; substitutes, into a probability density function having the signal intensity distribution as a random variable and the coordinates within the scanning region as a variable, a signal intensity distribution based on the signal obtained from the beam scanning; and for the plurality of positions within the scanning region, sets the coordinates within the scanning region at which the probability density function is at the maximum or at which prescribed conditions are met as the edge position.
    Type: Grant
    Filed: September 1, 2016
    Date of Patent: October 31, 2023
    Assignee: Hitachi High-Tech Corporation
    Inventor: Hiroshi Fukuda
  • Patent number: 11265292
    Abstract: Technology is described for mapping virtualized infrastructure resources and associated flows in a virtualized infrastructure of a service provider environment. An application dataflow involving a virtualized infrastructure resource may be described using a swim lane model. Controls within the virtualized infrastructure to enforce segmentation and security of the application dataflow may be deduced from how the application dataflow is secured into a corresponding swim lane. An infrastructure mapping service may use information that identifies virtualized infrastructure resources and describes application dataflows in the virtualized infrastructure to generate a map of the virtualized infrastructure in the form of a graph. The graph may provide a visual representation that is easy for an auditor or engineer to understand.
    Type: Grant
    Filed: January 28, 2019
    Date of Patent: March 1, 2022
    Assignee: AMAZON TECHNOLOGIES, INC.
    Inventor: Sean James Leviseur
  • Patent number: 10377010
    Abstract: A machine tool of the present invention includes: a visual sensor that takes an image of unworked workpiece; an unworked workpiece shape information storing unit that stores unworked workpiece shape information obtained by the visual sensor; a worked workpiece shape information storing unit in which worked workpiece shape information is stored; a burr information calculating unit that recognizes a burr by comparing the unworked workpiece shape information with the worked workpiece shape information; a burr determining unit that determines the burr based on conditions including at least one of the location and the direction of the burr in the workpiece; a working method judging unit that decides whether or not to perform burring with a tool of the machine tool based on the determination result concerning the burr; and a working path generating unit that generates a working path for removing the burr judged to be a burr on which burring is to be performed with the tool.
    Type: Grant
    Filed: November 10, 2016
    Date of Patent: August 13, 2019
    Assignee: FANUC CORPORATION
    Inventor: Shinji Okuda
  • Patent number: 10061968
    Abstract: A method for assembling a fingerprint identification module is provided. During the process of cutting the sensing strip, the thin junction slices between the fingerprint sensors are retained. Consequently, the size of the top surface of the fingerprint sensor is close to a predetermined size. After the thin junction slices are cut, the concave structures are formed on the bottom surfaces of the fingerprint sensors. Consequently, the size of the bottom surface of the fingerprint sensor is smaller than the size of the top surface of the fingerprint sensor. Even if the cutting skew is generated during the cutting process, the fingerprint sensor can pass the size test. Consequently, the production efficiency is enhanced.
    Type: Grant
    Filed: December 2, 2016
    Date of Patent: August 28, 2018
    Assignee: PRIMAX ELECTRONICS LTD.
    Inventors: Mao-Hsiu Hsu, Hsin-Tso Chen, Ying-Chieh Chuang
  • Patent number: 9404732
    Abstract: An electronic component thickness measurement method includes extracting, from a plurality of second reference lines in first image data and a plurality of second reference lines in second image data, only a second reference line at which a difference in intensity peak between respective second reference lines at a same position in the first image data and the second image data is smallest, and forming third image data including a first reference line and the extracted second reference line, and calculating a thickness of the electronic component from a distance between the first reference line and the second reference line in the third image data.
    Type: Grant
    Filed: July 8, 2014
    Date of Patent: August 2, 2016
    Assignee: Murata Manufacturing Co., Ltd.
    Inventors: Yoshinao Nishioka, Masayoshi Haruki
  • Patent number: 8928485
    Abstract: The present invention relates to the acquisition of tilted series images of a minute sample in a short time. The present invention relates to: measuring in advance the relation between an amount of focus shift and a degree of coincidence at the time of acquiring tilted series images; calculating backwards a focus shift from the degree of coincidence on the basis of this relation; correcting the focus shift by controlling a stage, an objective lens, and the like; and thus acquiring the tilted series images. In addition, the present invention relates to: acquiring a reference image in advance at the time of photographing the tilted series images; obtaining the correlation between an acquired image and the reference image; and performing, if the degree of coincidence is equal to or smaller than a set value, processing such as the transmission of a warning message and the stop of an image acquisition sequence.
    Type: Grant
    Filed: June 15, 2009
    Date of Patent: January 6, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Hideki Kikuchi, Isao Nagaoki, Katsuyuki Minakawa
  • Patent number: 8923600
    Abstract: Various methods and systems for utilizing design data in combination with inspection data are provided. One computer-implemented method for binning defects detected on a wafer includes comparing portions of design data proximate positions of the defects in design data space. The method also includes determining if the design data in the portions is at least similar based on results of the comparing step. In addition, the method includes binning the defects in groups such that the portions of the design data proximate the positions of the defects in each of the groups are at least similar. The method further includes storing results of the binning step in a storage medium.
    Type: Grant
    Filed: August 3, 2009
    Date of Patent: December 30, 2014
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Khurram Zafar, Sagar Kekare, Ellis Chang, Allen Park, Peter Rose
  • Patent number: 8921733
    Abstract: Removing material from the surface of a first circuit comprises generating a first laser pulse using a pulse generator; targeting a spot on the first circuit using a focusing component; delivering the first laser pulse to the spot on the first circuit, the first circuit including a digital component; ablating material from the spot using the first laser pulse without changing a state of the digital component; testing performance of the first circuit, the testing being performed without reinitializing the circuit between the steps of ablating material and testing performance. Targeting the spot on the first circuit comprises generating a second laser pulse using a pulse generator; delivering a second laser pulse to a sacrificial piece of material; detecting the position of the ablation caused by the second laser pulse with a vision system that forms an image; and using this image to guide the first laser to the spot.
    Type: Grant
    Filed: April 13, 2012
    Date of Patent: December 30, 2014
    Assignee: Raydiance, Inc.
    Inventors: David Gaudiosi, Laurent Vaissie
  • Patent number: 8904315
    Abstract: There is provided a method comprising: examining the location of one or more feature(s) of the one or more component(s) of a circuit arrangement to determine the displacement of the location of said one or more associated communication contact(s) with respect to a designed location for the communication contact(s), and providing corrective communication path layout data of said circuit arrangement based upon the said displacement(s).
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: December 2, 2014
    Assignee: Nokia Corporation
    Inventors: Risto Rönkkä, Tapio Manninen, Kalle Rutanen, Pekka Ruusuvuori, Heikki Huttunen
  • Patent number: 8855401
    Abstract: A method for measuring a dimension of a device includes receiving an image of a portion of the device, receiving a first offset value and a second offset value, processing the image to define a least one graph of a line of pixels, the at least one graph including the brightness level of each pixel in a line of pixels, identifying a location of a first peak and a second peak in the graph, defining a first exclusion area boundary, defining a second exclusion area boundary, setting the brightness level of the pixels between the first exclusion area boundary and the second exclusion area boundary to zero, identifying a first portion of the feature of interest and a second portion of the feature of interest, and measuring a distance between the first portion of the feature of interest and the second portion of the feature of interest.
    Type: Grant
    Filed: October 29, 2010
    Date of Patent: October 7, 2014
    Assignee: International Business Machines Corporation
    Inventors: Charles N. Archie, Anastasios A. Katsetos, Eric P. Solecky, Georgios A. Vakas
  • Patent number: 8731852
    Abstract: A method for the evaluative analysis of a photovoltaic layer system is described. The method applies to a semiconductor layer forming a pn junction: an electric current is generated in the layer system; a spatially resolved thermal image of the surface of the layer system is generated; an intensity distribution of the thermal radiation relative to the respective number of pixels with the same intensity value is determined; an intensity mean/median from the intensity distribution is determined; an intensity interval based on a specifiable measure for a scattering of the intensity distribution is determined; a characteristic number is determined; and the characteristic number or a calculation value based thereon is compared with a specifiable reference characteristic number.
    Type: Grant
    Filed: September 28, 2011
    Date of Patent: May 20, 2014
    Assignee: Saint-Gobain Glass France
    Inventor: Thomas Dalibor
  • Patent number: 8653846
    Abstract: The electronic device mounting apparatus 1 comprises: a first camera 123 for imaging a flexible board 74 of a base member 70 of a test carrier 60 to generate a first image information; an image processing apparatus 40 for detecting a position of an alignment mark 79 of the flexible board 74 from the first image information and calculating a print start position 782 of the first interconnect patterns 78 on the flexible board 74 on the basis of the position of the alignment mark 79; a printing head 122 for forming a first interconnect pattern 78 on the flexible board 74 from the print start position 782; and a second conveyor arm 21 for mounting a die 90 on the flexible board 74 on which the first interconnect pattern 78 is formed.
    Type: Grant
    Filed: October 5, 2010
    Date of Patent: February 18, 2014
    Assignee: Advantest Corporation
    Inventors: Yoshinari Kogure, Yasuhide Takeda
  • Patent number: 8605986
    Abstract: A burr detection apparatus includes an imaging unit and a detection unit. The imaging unit captures an original image of a stencil. The original comprises black and white pixels. The detection includes a CPU and a memory. The CPU includes an extracting module, a deciding module, a counting module, and a comparing module. The extracting module obtains a matrix image with N*N pixels, wherein N is an odd number. The deciding module decides whether the center pixel of the matrix image is a black pixel. The counting module obtains a black pixel total counted among marginal pixels which position in the margin of the matrix image in a predetermined rule. The comparing module compares the black pixel total with a predetermined threshold number, and determines that the part of the stencil corresponding to the matrix image has a burr when the black pixel total is less than the threshold number.
    Type: Grant
    Filed: February 21, 2011
    Date of Patent: December 10, 2013
    Assignee: Hon Hai Precision Industry Co., Ltd.
    Inventor: Pei-Chong Tang
  • Patent number: 8538128
    Abstract: A method for determining the location of an additive, especially an additive that is not visible to a consumer, in an article with respect to a surface feature of the article is provided.
    Type: Grant
    Filed: April 11, 2011
    Date of Patent: September 17, 2013
    Assignee: The Procter & Gamble Company
    Inventors: André Mellin, Michael Paul Hausfeld, John Matthew Anast
  • Patent number: 8538165
    Abstract: A data memory storing Gerber data containing closed area information of a work; a display displaying a pattern image based on the closed area information of the Gerber data; a detection specification information display program displaying on the display a detection tool specifying a location of edge to be detected, a detection direction and detection length, by superimposing on the pattern image; an image capturing program and an image capturer capturing an image of an area corresponding to the detection tool of the work; an edge detection program performing an edge detection of the location of the edge to be detected with respect to data of a captured image; and a condition determination program determining a light-dark change condition indicating whether an image is changing from a light section to a dark section or from a dark section to a light section along a detection direction.
    Type: Grant
    Filed: April 21, 2011
    Date of Patent: September 17, 2013
    Assignee: Mitutoyo Corporation
    Inventors: Tsukasa Kojima, Kozo Ariga, Jyota Miyakura
  • Patent number: 8520077
    Abstract: A color-unevenness inspection apparatus includes: an image pickup section picking up an image of an inspection target for a color-unevenness inspection; an image generation section generating an uneven-color image by determining one or more uneven-color regions existing in the picked-up image of the inspection target obtained by the image pickup section, and by classifying unit regions included in each of the uneven-color regions into a plurality of color groups; a calculation section calculating, on the uneven-color regions in the uneven-color image, an evaluation parameter to be used in the color-unevenness inspection; a correction section making a correction to the calculated evaluation parameter in consideration of a difference of color-unevenness visibility between the color groups; and an inspection section performing the color-unevenness inspection, based on a resultant evaluation parameter obtained by the correction.
    Type: Grant
    Filed: October 18, 2010
    Date of Patent: August 27, 2013
    Assignee: Sony Corporation
    Inventors: Kunihiko Nagamine, Satoshi Tomioka
  • Patent number: 8421803
    Abstract: This invention facilitates monitoring operation for checking whether or not quality of a substrate deteriorates as well as operation for identifying a cause of deterioration in quality. Identification information of constituent elements related to measurement target sections (pads) on a component-mounted substrate is arranged into hierarchal structure data. A first axis is arranged with the measurement target sections associated with this arrangement. A second axis is arranged with information (identification information of lots and squeegees) representing production conditions of the substrates according to an order of the substrates being processed. A two-dimensional area defined by the first axis and the second axis is set. A color map is generated, in which measured data of the measurement target sections on the substrates are arranged in colors at corresponding positions within the two-dimensional area.
    Type: Grant
    Filed: January 22, 2010
    Date of Patent: April 16, 2013
    Assignee: Omron Corporation
    Inventors: Kazuto Kojitani, Keiji Otaka, Hiroyuki Mori
  • Patent number: 8379963
    Abstract: This solution relates to machine vision computing environments, and more specifically relates to a system and method for selectively accelerating the execution of image processing applications using a cell computing system. The invention provides a high performance machine vision system over the prior art and provides a method for executing image processing applications on a Cell and BPE3 image processing system. Moreover, implementations of the invention provide a machine vision system and method for distributing and managing the execution of image processing applications at a fine-grained level via a PCIe connected system. The hybrid system is replaced with the BPE3 and the switch is also eliminated from the prior in order to meet over 1 GB processing requirement.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: February 19, 2013
    Assignee: International Business Machines Corporation
    Inventors: Moon J. Kim, Yumi Mori, Hiroki Nakano, Masakuni Okada
  • Patent number: 8358832
    Abstract: An improved method of high accuracy beam placement for local area navigation in the field of semiconductor chip manufacturing. This invention demonstrates a method where high accuracy navigation to the site of interest within a relatively large local area (e.g. an area 200 ?m×200 ?m) is possible even where the stage/navigation system is not normally capable of such high accuracy navigation. The combination of large area, high-resolution scanning, digital zoom and registration of the image to an idealized coordinate system enables navigation around a local area without relying on stage movements. Once the image is acquired any sample or beam drift will not affect the alignment. Preferred embodiments thus allow accurate navigation to a site on a sample with sub-100 nm accuracy, even without a high-accuracy stage/navigation system.
    Type: Grant
    Filed: October 31, 2011
    Date of Patent: January 22, 2013
    Assignee: FEI Company
    Inventors: Richard J. Young, Chad Rue, Peter D Carleson
  • Patent number: 8305447
    Abstract: A system for detecting intrusion into a space which includes motion detection light structure for producing and projecting a light pattern formed by a plurality of light beams of a certain character into a space and video motion detecting structure including a video camera having a field of view including at least some of the light pattern to detect intrusion into the light pattern. When motion is detected, images of the video camera are transmitted for threat assessment, and a scene illumination light is activated to improve visibility during nighttime operation.
    Type: Grant
    Filed: August 27, 2009
    Date of Patent: November 6, 2012
    Inventor: Thomas K. Wong
  • Patent number: 8290239
    Abstract: An apparatus and method for automatically inspecting and repairing printed circuit boards includes an inspection functionality automatically inspecting printed circuit boards and providing a machine readable indication of regions thereon requiring repair. An automatic repair functionality employs the machine readable indication to repair the printed circuit boards at some of the regions thereon requiring repair. An automatic repair reformulation functionality automatically reinspects the printed circuit boards following an initial automatic repair operation, and provides to the automatic repair functionality a reformulated machine readable indication of regions thereon requiring repair.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: October 16, 2012
    Assignee: Orbotech Ltd.
    Inventors: Amir Noy, Gilad Davara
  • Patent number: 8264534
    Abstract: A method for processing the image data of the surface of a wafer (2) recorded by at least one camera (5) is disclosed, wherein an image field (15) is defined for each camera (5) in such a way that the recorded image content is repeated after N recorded images. In an evaluation electronics (18) M utility programs (19) are determined, wherein M is equal to the number of recorded images after which the image content is repeated. The number M of utility programs (19) is adapted to the number N of images. Each of the M utility programs (19) of the plurality of recorded images is only fed with images having the same image contents in order to detect defects on the basis of the image contents of the images of the surface of the wafer. The results of the M utility programs (19) are respectively forwarded to a central program (20) in a sequential manner, which compiles a distribution of the defects present on the surface of the wafer (2) from the individual results of the M utility programs (19).
    Type: Grant
    Filed: December 4, 2008
    Date of Patent: September 11, 2012
    Assignee: Vistec Semiconductor Systems GmbH
    Inventor: Detlef Michelsson
  • Patent number: 8264535
    Abstract: The invention relates to a method for analyzing a group of at least two masks for photolithography, wherein each of the masks comprises a substructure of a total structure, which is to be introduced in a layer of the wafer in the lithographic process, and the total structure is introduced in the layer of the wafer by introducing the substructures in sequence. In this method, a first aerial image of a first one of the at least two masks is recorded, digitized and stored in a data structure. Then, a second aerial image of a second one of the at least two masks is recorded, digitized and stored in a data structure. A combination image is generated from the data of the first and second aerial images, which combination image is represented and/or evaluated.
    Type: Grant
    Filed: July 11, 2008
    Date of Patent: September 11, 2012
    Assignee: Carl Zeiss SMS GmbH
    Inventors: Oliver Kienzle, Rigo Richter, Norbert Rosenkranz, Yuji Kobiyama, Thomas Scheruebl
  • Patent number: 8253077
    Abstract: A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: August 28, 2012
    Assignee: Tokyo Electron Limited
    Inventors: Kunie Ogata, Masahide Tadokoro, Tsuyoshi Shibata, Shinichi Shinozuka
  • Patent number: 8184898
    Abstract: A system to facilitate analysis of component leads is provided and includes a device to form a picture of the leads, from which an image is extracted, to apportion the image and to perform first and second scans of the portions, and a processor, including a memory unit having a set of computer-readable executable instructions stored thereon, which, when executed, cause the processor to receive data of each scan, to establish a rule, based on the data of the first scan of any one portion, governing when to judge that the data of the second scan of the one portion indicates a defect, to determine rule compliance for each of the second scans, to judge that any one second scan in a non-compliance state indicates a defect, and to report a location of the defect. A display unit displays the report to a user.
    Type: Grant
    Filed: March 31, 2009
    Date of Patent: May 22, 2012
    Assignee: International Business Machines Corporation
    Inventors: Donald A. Hallock, Vincent P. Mulligan, Joseph P. Paul, James I. Paradies, Nandu N. Ranadive
  • Patent number: 8144971
    Abstract: The pattern matching processing system includes: a recognition pattern-storage unit which stores a first image data obtained by picking up an image of at least a portion of a lead frame or a substrate of a first object and the second image data obtained by picking up an image of at least a portion of a lead frame of a second object that is different from the first object, respectively, and also stores one of the first image data and the second image data as an ordinary recognition pattern, and the other as an auxiliary recognition pattern; and a recognition unit, which recognizes input image data by a first pattern matching with the ordinary recognition pattern stored in the recognition pattern-storage unit, and also carries out the second pattern matching with the auxiliary recognition pattern when an error is caused in the first pattern matching.
    Type: Grant
    Filed: February 12, 2009
    Date of Patent: March 27, 2012
    Assignee: Renesas Electronics Corporation
    Inventor: Tsutomu Sano
  • Patent number: 8121394
    Abstract: A method for manufacturing an electronic device is provided. The method includes: pressure-bonding a plurality of terminals of an electronic component to a plurality of electrodes formed on a surface of a transparent substrate, respectively, via an anisotropic conductive film to mount the electronic component on the transparent substrate; obtaining an image of the electrodes by imaging the transparent substrate with the electronic component mounted thereon from backside of the transparent substrate; measuring the number of indentations for each said electrode using the image of the electrode, the indentation being formed when the electrode is pressed by a conductive particle in the anisotropic conductive film; calculating an average and a standard deviation of the number of indentations per electrode throughout the transparent substrate; and calculating a probability that the number of indentations per electrode is less than a reference value on basis of the average and the standard deviation.
    Type: Grant
    Filed: March 18, 2008
    Date of Patent: February 21, 2012
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Takashi Miyauchi
  • Patent number: 8055055
    Abstract: Provided is a foreign matter inspection method for positively detecting a foreign matter in the neighborhood of the edge of a mirror-finished substrate without fail. Edge-emphasis and binarization are performed following the taking of an image of a substrate-under-inspection at a contour of its inspection area, to further detect a plurality of sampling points representative of a contour of the inspection area. An estimated inspection area is determined by determining the size, position and rotation angle of contour lines defined, size-reducibly, from the coordinates of the plurality of sampling points. After applying a mask to the binary image data in an area other than the estimated inspection area, a foreign-matter detection step is performed.
    Type: Grant
    Filed: April 19, 2006
    Date of Patent: November 8, 2011
    Assignee: Panasonic Corporation
    Inventors: Taizou Hamada, Tatsutoshi Suenaga
  • Patent number: 8036445
    Abstract: A pattern matching method includes: detecting an edge of a pattern in a pattern image obtained by imaging the pattern; segmenting the detected pattern edge to generate a first segment set consisting of first segments; segmenting a pattern edge on reference data which serves as a reference for evaluating the pattern to generate a second segment set consisting of second segments; combining any of the segments in the first segment set with any of the segments in the second segment set to define a segment pair consisting of first and second segments; calculating the compatibility coefficient between every two segment pairs in the defined segment pairs; defining new segment pairs by narrowing down the defined segment pairs by calculating local consistencies of the defined segment pairs on the basis of the calculated compatibility coefficients and by excluding segment pairs having lower local consistencies; determining an optimum segment pair by repeating the calculating the compatibility coefficient and the defini
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: October 11, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventors: Atsushi Onishi, Tadashi Mitsui, Yuichiro Yamazaki
  • Patent number: 8014587
    Abstract: Disclosed is a pattern test method in which a drawing region is divided into a plurality of deflection regions determined by the deflection width of a deflector of a charged beam lithography apparatus, the charged beam lithography apparatus draws a pattern in each divided deflection region on the basis of pattern design data to obtain a sample, and a defect of the pattern on the sample is tested. The method determines the coordinates of a connecting portion of the deflection regions, divides the pattern design data into boundary region pattern data as the connecting portion of the deflection regions and pattern data except for the boundary region pattern data, and obtains image data of the pattern formed on the sample. The method then compares the boundary region pattern data with the image data.
    Type: Grant
    Filed: August 27, 2007
    Date of Patent: September 6, 2011
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Yumi Watanabe
  • Patent number: 7988297
    Abstract: Non-rigidly coupled, overlapping, non-feedback optical systems for spatial filtering of Fourier transform optical patterns and image shape characterization comprises a first optical subsystem that includes a lens for focusing a polarized, coherent beam to a focal point, an image input device that spatially modulates phase positioned between the lens and the focal point, and a spatial filter at the Fourier transform pattern, and a second optical subsystem overlapping the first optical subsystem includes a projection lens and a detector. The second optical subsystem is optically coupled to the first optical subsystem.
    Type: Grant
    Filed: October 19, 2007
    Date of Patent: August 2, 2011
    Assignee: Look Dynamics, Inc.
    Inventor: Rikk Crill
  • Patent number: 7985516
    Abstract: A processing temperature of thermal processing is corrected based on measurement of a first dimension of a resist pattern on a substrate from a previously obtained relation between a dimension of a resist pattern and a temperature of thermal processing, a second dimension of the resist pattern after thermal processing is performed at the corrected processing temperature is measured, a distribution within the substrate of the second dimension is classified into a linear component expressed by an approximated curved surface and a nonlinear component, a processing condition of exposure processing is corrected based on the linear component from a previously obtained relation between a dimension of a resist pattern and a processing condition of exposure processing, and thermal processing at the processing temperature corrected in a temperature correcting step and exposure processing under the processing condition corrected in an exposure condition correcting step are performed to form a predetermined pattern.
    Type: Grant
    Filed: April 20, 2009
    Date of Patent: July 26, 2011
    Assignee: Tokyo Electron Limited
    Inventors: Kunie Ogata, Masahide Tadokoro, Tsuyoshi Shibata, Shinichi Shinozuka
  • Patent number: 7933452
    Abstract: A visual image retrieval system is provided. The system includes an image database for storing images. The system also includes a preprocessor communicatively linked to the image database for segmenting the images and generating based upon segmented images a region-of-interest (ROI) extraction output. Additionally, the system includes an ROI feature extraction module for computing ROI feature vectors based upon the output, and a global feature extraction module for computing global feature vectors based upon the output. The system further includes an ROI feature vectors database for storing the ROI feature vectors, and a global feature vectors database for storing the global feature vectors. The system also includes a perceptually-relevant image search machine (PRISM) interface for displaying query images and retrieved images, the retrieved images being retrieved in response to a user selecting at least one displayed query image.
    Type: Grant
    Filed: December 11, 2007
    Date of Patent: April 26, 2011
    Assignee: Florida Atlantic University
    Inventors: Oge Marques, Liam Mayron
  • Patent number: 7844103
    Abstract: An automated optical inspection system includes a pulsed light source illuminating an article to be inspected thereby to generate at least one image thereof, at least one camera having a field of view, and a relative motion provider operative to provide relative motion between the camera and at least one image of at least a portion of the article. The relative motion provider may include a first continuous motion provider and a second, velocity-during-imaging-lessening motion provider. The relative motion is a superposition of a first continuous component of motion provided by the first motion provider and a second, smaller component of motion provided by the second motion provider which lessens the velocity of the at least one image relative to the camera, during imaging.
    Type: Grant
    Filed: October 12, 2006
    Date of Patent: November 30, 2010
    Assignee: Applied Materials Israel, Ltd.
    Inventor: Ehud Tirosh
  • Patent number: 7844099
    Abstract: A method for inspecting a semiconductor wafer fabricated for image sensing operation that has had a transparent protective tape layer applied to a front or active wafer surface. The method includes quantifying chip defects in the image sensor wafer that lie under the protective layer using automatic disposition equipment.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: November 30, 2010
    Assignee: International Business Machines Corporation
    Inventors: Timothy C. Krywanczyk, Timothy E. Neary, Erik M. Probstfield
  • Patent number: 7817847
    Abstract: A robot system having a vision sensor. The robot system includes a robot; a robot controlling section for controlling an operation of the robot; an imaging section provided on the robot and obtaining image data of a working environment of the robot; an image processing section for processing the image data obtained in the imaging section; a vision controlling section for controlling the imaging section and the image processing section to cause execution of obtaining the image data, transmitting the image data thus obtained, and processing the image data; and a communication network to which the robot controlling section, the image processing section and the vision controlling section are connected.
    Type: Grant
    Filed: October 5, 2005
    Date of Patent: October 19, 2010
    Assignee: Fanuc Ltd
    Inventors: Yoshiki Hashimoto, Minoru Enomoto
  • Publication number: 20100246935
    Abstract: A system to facilitate analysis of component leads is provided and includes a device to form a picture of the leads, from which an image is extracted, to apportion the image and to perform first and second scans of the portions, and a processor, including a memory unit having a set of computer-readable executable instructions stored thereon, which, when executed, cause the processor to receive data of each scan, to establish a rule, based on the data of the first scan of any one portion, governing when to judge that the data of the second scan of the one portion indicates a defect, to determine rule compliance for each of the second scans, to judge that any one second scan in a non-compliance state indicates a defect, and to report a location of the defect. A display unit displays the report to a user.
    Type: Application
    Filed: March 31, 2009
    Publication date: September 30, 2010
    Applicant: International Business Machines Corporation
    Inventors: Donald A. Hallock, Vincent P. Mulligan, Joseph P. Palmeri, James I. Paradies, Nandu N. Ranadive
  • Patent number: 7801353
    Abstract: Images of areas of a wafer are generated and registered with respect to computer aided design (CAD) data to provide a registered images. Defects in the wafer are then detected by comparing the registered images to one another and defect location information is generated in CAD coordinates.
    Type: Grant
    Filed: January 31, 2007
    Date of Patent: September 21, 2010
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Gilad Almogy, Benyamin Buller
  • Patent number: 7769225
    Abstract: Computer-implemented methods and systems for detecting defects in a reticle design pattern are provided. One computer-implemented method includes acquiring images of a field in the reticle design pattern. The images illustrate how the field will be printed on a wafer at different values of one or more parameters of a wafer printing process. The field includes a first die and a second die. The method also includes detecting defects in the field based on a comparison of two or more of the images corresponding to two or more of the different values. In addition, the method includes determining if individual defects located in the first die have substantially the same within die position as individual defects located in the second die.
    Type: Grant
    Filed: December 20, 2005
    Date of Patent: August 3, 2010
    Assignee: KLA-Tencor Technologies Corp.
    Inventors: Sagar A. Kekare, Ingrid B. Peterson, Moshe E. Preil
  • Patent number: 7760930
    Abstract: The present disclosure provides a system and method for recognizing a defect image associated with a semiconductor substrate. In one example, the method includes collecting defect data of the defect image by testing and measuring the semiconductor substrate, extracting a pattern from the defect data, normalizing a location, orientation, and size of the pattern, and identifying the pattern after the pattern is normalized.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: July 20, 2010
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chen-Ting Lin, Chih-Cheng Chou, Chih-Hung Wu, Chia-Hua Chang
  • Patent number: 7756318
    Abstract: A mask/reticle pattern inspection apparatus capable of readily detecting local critical dimension (CD) errors of a circuit pattern of a testing workpiece is disclosed. This apparatus includes a search unit for finding a plurality of resembling or “look-alike” adjacent patterns around a specific pattern on the workpiece, which have similarity to the specific pattern. The inspection apparatus also includes a calculation unit for obtaining dissimilarity between the specific pattern and look-alike adjacent pattern, a variation evaluation unit which excludes an allowable error from the dissimilarity to thereby obtain a local CD error criterion value, and a CD error decision unit for determining the presence of a local CD error when the criterion value exceeds a threshold value in case the distance between the specific and look-alike patterns increases. A pattern inspection method is also disclosed.
    Type: Grant
    Filed: November 15, 2006
    Date of Patent: July 13, 2010
    Assignee: Advanced Mask Inspection Technology Inc.
    Inventor: Yuichi Nakatani
  • Patent number: 7751610
    Abstract: Provided is an image recognition method in which a first pattern area which is set inside a target to be recognized and a second pattern area which is set outside the target are used as a mask pattern. When a comparison circuit judges that a difference obtained by subtracting, by a differential circuit, the largest luminance value in the target image contained in the first pattern area, which is detected by a largest value detection circuit, from the smallest luminance value in the target image contained in the second pattern area, which is detected by the smallest value detection circuit, is larger than a certain offset amount, the matching judgement that a predetermined pattern is present is made. This recognition judgment is performed with the whole target image being scanned.
    Type: Grant
    Filed: March 11, 2005
    Date of Patent: July 6, 2010
    Assignee: Panasonic Corporation
    Inventor: Shinichi Takarada
  • Patent number: 7734081
    Abstract: A grinding method includes the steps of: enabling an image-capturing device to capture a set of consecutive images containing a workpiece being ground by a grinding device; enabling an image-processing device to identify the workpiece from the images, to detect a top edge of the identified workpiece from a latest one of the images, to locate a set of image pixels that lie on the top edge of the workpiece, and to determine relative heights of the image pixels; and enabling a controlling device to control grinding operation of the grinding device with reference to the relative heights of the image pixels. A system that performs the grinding method is also disclosed.
    Type: Grant
    Filed: December 5, 2006
    Date of Patent: June 8, 2010
    Assignee: Feng Chia University
    Inventors: Thong-Shing Hwang, Hsien-Yao Li, Oh-Chung Ho
  • Patent number: 7724939
    Abstract: Disclosed is an apparatus for analyzing a plurality of image portions of at least a region of a sample. The apparatus includes a plurality of processors arranged to receive and analyze at least one of the image portions, and the processors being arranged to operate in parallel. The apparatus also includes a data distribution system arranged to receive image data, select at least a first processor for receiving a first image from the image data, select at least a second processor for receiving a second image from the image data, and output the first and second image portions to their selected processors.
    Type: Grant
    Filed: August 4, 2006
    Date of Patent: May 25, 2010
    Assignee: KLA-Tencor
    Inventors: Edward M. Goldberg, Erik N. Johnson, Lawrence R. Miller
  • Patent number: 7715616
    Abstract: A PC board inspecting method capable of detecting deviation of an IC component at high speed with small storage capacity utilizes inspection logic particular to a component to be inspected. The inspection logic includes a color condition for specifying a color appearing in the body of the component to be inspected. The component is radiated with a plurality of color rays at different angles of incidence. An image formed by reflection light of the color rays is captured, and an inspection image including at least part of an edge of the body of the component to be inspected is captured. By using the color condition, a component body area satisfying the color condition is extracted from the inspection image by an image process.
    Type: Grant
    Filed: February 21, 2006
    Date of Patent: May 11, 2010
    Assignee: OMRON Corporation
    Inventors: Toshihiro Moriya, Hirotaka Wada, Takako Onishi, Atsushi Shimizu, Akira Nakajima
  • Patent number: 7653237
    Abstract: A calibration and part inspection method for the inspection of ball grid array, BGA, devices. Two cameras image a precision pattern mask with dot patterns deposited on a transparent reticle. The precision pattern mask is used for calibration of the system. A light source and overhead light reflective diffuser provide illumination. A first camera images the reticle precision pattern mask from directly below. An additional mirror or prism located below the bottom plane of the reticle reflects the reticle pattern mask from a side view, through prisms or reflective surfaces, into a second camera and a second additional mirror or prism located below the bottom plane of the reticle reflects the opposite side view of the reticle pattern mask through prisms or mirrors into a second camera. By imaging more than one dot pattern the missing state values of the system can be resolved using a trigonometric solution.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: January 26, 2010
    Assignee: Scanner Technologies Corporation
    Inventors: Elwin M. Beaty, David P. Mork
  • Publication number: 20090232386
    Abstract: The pattern matching processing system includes: a recognition pattern-storage unit which stores a first image data obtained by picking up an image of at least a portion of a lead frame or a substrate of a first object and the second image data obtained by picking up an image of at least a portion of a lead frame of a second object that is different from the first object, respectively, and also stores one of the first image data and the second image data as an ordinary recognition pattern, and the other as an auxiliary recognition pattern; and a recognition unit, which recognizes input image data by a first pattern matching with the ordinary recognition pattern stored in the recognition pattern-storage unit, and also carries out the second pattern matching with the auxiliary recognition pattern when an error is caused in the first pattern matching.
    Type: Application
    Filed: February 12, 2009
    Publication date: September 17, 2009
    Applicant: NEC Electronics Corporation
    Inventor: Tsutomu Sano
  • Patent number: 7590278
    Abstract: A method for analyzing an image includes identifying a curved segment of a contour that is associated with noise. The curved segment is smoothed so as to reduce the noise that is associated with the curved segment, thereby providing a smoothed segment. The smoothed segment is transformed to a natural coordinate system, thereby providing a transformed segment. A line is fitted to the transformed segment in order to determine a radius of curvature of the curved segment.
    Type: Grant
    Filed: August 6, 2004
    Date of Patent: September 15, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Kris Roman, Ovadya Menadeva, Aviram Tam, Gidi Gottlib, Liraz Gershtein
  • Patent number: 7570798
    Abstract: An apparatus for three dimensional inspection of an electronic part which has a camera and illuminator for imaging a first view of the electronic part. An optical element is positioned to reflect a different view of the electronic part into the camera, and the camera thus provides an image of the electronic part having differing views of the electronic part. An image processor applies calculations on the differing views to calculate a three dimensional position of at least one portion of the electronic part.
    Type: Grant
    Filed: April 16, 2007
    Date of Patent: August 4, 2009
    Inventors: Elwin M. Beaty, David P. Mork
  • Patent number: 7539339
    Abstract: It is an object of the present invention to provide a parts recognition data preparing method and a preparing device and an electronic parts mounting device and a recording medium, which are capable of preparing parts recognition data, which are set to respective electronic parts and are referred to when the electronic parts are to be recognized, precisely and quickly not to know particularly the characteristic of the recognition algorithm.
    Type: Grant
    Filed: July 6, 2001
    Date of Patent: May 26, 2009
    Assignee: Panasonic Corporation
    Inventors: Atsushi Tanabe, Kimiaki Sano, Junichi Hada, Eiichi Hachiya, Seishiro Yanachi