Inspection Of Semiconductor Device Or Printed Circuit Board Patents (Class 382/145)
  • Patent number: 11956396
    Abstract: An information processing apparatus includes a processor configured to evaluate a performance of each of a plural combinations of processes, each combination including a series of processes, the plural combinations being included in plural processes, the series of processes being configured to be performed on an image, the performance being evaluated on a basis of a result of performing the series of processes on a test image for each combination, and output an evaluation result for at least two combinations.
    Type: Grant
    Filed: January 25, 2021
    Date of Patent: April 9, 2024
    Assignee: FUJIFILM Business Innovation Corp.
    Inventors: Shusaku Kubo, Junichi Shimizu, Kosuke Tomokuni, Mamiko Sato
  • Patent number: 11949826
    Abstract: An image reading device includes a sensor and circuitry. The sensor reads an image on a recording medium. The circuitry inspects the image and outputs an inspection result. The circuitry excludes an area of the recording medium as a first area from an area to be inspected, based on a type of the recording medium or a position of the recording medium with respect to a reading position at which the sensor reads the image, to determine a second area to be inspected. The circuitry outputs the inspection result based on the second area.
    Type: Grant
    Filed: July 14, 2022
    Date of Patent: April 2, 2024
    Assignee: Ricoh Company, Ltd.
    Inventors: Rie Suzuki, Tatsuya Ishii
  • Patent number: 11933717
    Abstract: A metrology system may include a metrology tool to selectively perform metrology measurements in a static mode in which one or more metrology targets on a sample are stationary during a measurement or a scanning mode in which one or more metrology targets are in motion during a measurement, and a controller communicatively coupled to the translation stage and at least one of the one or more detectors. The controller may receive locations of metrology targets on the sample to be inspected, designate the metrology targets for inspection with the static mode or the scanning mode, direct the metrology tool to perform metrology measurements on the metrology targets in the static mode or the scanning mode based on the designation, and generate metrology data for the sample based on the metrology measurements on the metrology targets.
    Type: Grant
    Filed: September 27, 2019
    Date of Patent: March 19, 2024
    Assignee: KLA Corporation
    Inventors: Andrew V. Hill, Amnon Manassen, Yoram Uziel, Yossi Simon, Gilad Laredo
  • Patent number: 11922307
    Abstract: With respect to an inference method performed by at least one processor, the method includes inputting, by the at least one processor, into a learned model, non-processed object image data of a second object and data related to a second process for the second object, and inferring, by the at least one processor using the learned model, processed object image data of the second object on which the second process has been performed. The learned model has been trained so that an output obtained in response to non-processed object image data of a first object and data related to a first process for the first object being input approaches processed object image data of the first object on which the first process has been performed.
    Type: Grant
    Filed: March 2, 2021
    Date of Patent: March 5, 2024
    Assignees: Preferred Networks, Inc., Tokyo Electron Limited
    Inventors: Kosuke Nakago, Daisuke Motoki, Masaki Watanabe, Tomoki Komatsu, Hironori Moki, Masanobu Honda, Takahiko Kato, Tomohiko Niizeki
  • Patent number: 11923441
    Abstract: Described is a method of manufacturing a gate-all-around electronic device. The method includes forming a thermal oxide layer though an enhanced in situ steam generation process in combination with atomic layer deposition of a low-? layer. The thin thermal oxide layer passivates the interface between the silicon layer and the dielectric layer of the GAA. A passivation process after the deposition of the low-? layer reduces the bulk trap and enhances the breakdown performance of the GAA transistor.
    Type: Grant
    Filed: August 16, 2022
    Date of Patent: March 5, 2024
    Assignee: Applied Materials, Inc.
    Inventors: Steven C. H. Hung, Benjamin Colombeau, Andy Lo, Byeong Chan Lee, Johanes F. Swenberg, Theresa Kramer Guarini, Malcolm J. Bevan
  • Patent number: 11906578
    Abstract: A multispectral inspection (MSI) device for analyzing an electronic item having a printed circuit board (PCB). An electronic power supply powers the electronic item in accordance with one or more test vectors. An optical imaging scanner, terahertz (THz) imaging scanner, and a functional imaging scanner are each operative to scan the electronic item. An electronic processor is programmed to scan the various scanners and control the power supply to acquire optical, THz, and functional images of the electronic item. The images are combined to form a standard three-dimensional (3D) signature and artificial intelligence (AI) classifiers are applied to the 3D signature to perform non-destructive analyses of the electronic item.
    Type: Grant
    Filed: September 16, 2020
    Date of Patent: February 20, 2024
    Assignee: BATTELLE MEMORIAL INSTITUTE
    Inventors: Thomas F. Kent, Jeremiah P. Schley, Anthony F. George, Richard J. Higgins, Katie T. Liszewski, David Maung
  • Patent number: 11861286
    Abstract: For each defect in a set of defects, the defect may be associated with a defect attribute constructed from a set of computer-aided design (CAD) identifiers associated with polygons in an integrated circuit (IC) design that overlap with a defect area of the defect. Next, the set of defects may be segregated into defect groups based on the associated defect attributes. The defect groups may be used to perform additional processing on the set of defects.
    Type: Grant
    Filed: June 29, 2021
    Date of Patent: January 2, 2024
    Assignee: Synopsys, Inc.
    Inventors: Ankush B. Oberai, Kiran U. Agashe
  • Patent number: 11816827
    Abstract: A system for visual inspection, which includes a processor that receives a sensitivity level relating to an image of an item on an inspection line, the image being displayed on the user interface device, and calculates a minimal defect size visible in the image at the received sensitivity level. The calculated minimal defect size is then displayed on a user interface. This visual demonstration of minimal detectable size, which changes as the user changes sensitivity level, enables the user to easily obtain a desired minimal detectable defect size.
    Type: Grant
    Filed: February 14, 2021
    Date of Patent: November 14, 2023
    Assignee: INSPEKTO A.M.V. LTD.
    Inventors: Alexander Spivak, Yonatan Hyatt, Sivan Mottes
  • Patent number: 11815470
    Abstract: Disclosed herein is a method for detecting defects on a sample. The method includes obtaining scan data of a region of a sample in a multiplicity of perspectives, and performing an integrated analysis of the obtained scan data. The integrated analysis includes computing, based on the obtained scan data, and/or estimating cross-perspective covariances, and determining presence of defects in the region, taking into account the cross-perspective covariances.
    Type: Grant
    Filed: September 2, 2020
    Date of Patent: November 14, 2023
    Assignee: Applied Materials Israel, Ltd.
    Inventors: Haim Feldman, Eyal Neistein, Harel Ilan, Shahar Arad, Ido Almog, Ori Golani
  • Patent number: 11789069
    Abstract: Embodiments of the invention include a computer-implemented method that includes controlling, using a processor, a high-resolution optical inspection tool (HROIT) to identify a reference die tamper circuit on a reference die of a wafer; and controlling, using the processor, a low-resolution optical inspection tool (LROIT) to use the reference die tamper circuit to determine that the reference die tamper circuit is on a second die of the wafer.
    Type: Grant
    Filed: December 3, 2021
    Date of Patent: October 17, 2023
    Assignee: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventor: Effendi Leobandung
  • Patent number: 11763059
    Abstract: A defect map may be created by merging defects at locations on multiple dies that include copies of an integrated circuit (IC). Layout shapes or nets may be determined that overlap with the defects in the defect map. Next, connectivity between the layout shapes or nets may be determined. The defects may then be grouped into defect groups based on the connectivity between the layout shapes or nets, where each defect group comprises defects that overlap with layout shapes or nets that are electrically connected to each other.
    Type: Grant
    Filed: December 17, 2020
    Date of Patent: September 19, 2023
    Assignee: Synopsys, Inc.
    Inventors: Ankush Bharati Oberai, Rajesh Ramesh Sahani
  • Patent number: 11762303
    Abstract: The present application provides a method for improving overlay metrology accuracy of self-aligned multiple patterning, overlay metrology pattern comprising a front layer pattern and a current layer pattern, the front layer pattern comprising a plurality of first grating structures overlaid on the periphery of the current layer pattern, the first grating structure being composed of a plurality of repeatedly arranged strip elements; segmenting the strip element in the first grating structure, so that each of the strip elements forms a sub-grating structure comprising a plurality of repeatedly arranged strip structures; forming a plurality of repeatedly arranged core structures corresponding to the plurality of repeatedly arranged strip structures; form a gate structure comprising a plurality of repeatedly arranged fin structures; removing two outermost fin structures of the gate structure; the gate structure and the current layer pattern structure together forming an overlay metrology structure.
    Type: Grant
    Filed: August 15, 2022
    Date of Patent: September 19, 2023
    Assignee: Shanghai Huali Integrated Circuit Corporation
    Inventors: Yuyang Bian, Cong Zhang
  • Patent number: 11756188
    Abstract: Input data may be received. The input data may include an image of a pattern and location data that identifies a modified portion of the pattern. A processing device may determine a first parameter of a first dimension within the pattern and a second parameter of a second dimension outside of the pattern. A combined set may be generated based on the first parameter and the second parameter. A defect associated with the modified portion may be classified based on the combined set.
    Type: Grant
    Filed: March 14, 2022
    Date of Patent: September 12, 2023
    Assignee: Applied Materials Israel Ltd.
    Inventors: Vadim Vereschagin, Roman Kris, Ishai Schwarzband, Boaz Cohen, Evgeny Bal, Ariel Shkalim
  • Patent number: 11741596
    Abstract: A semiconductor wafer fault analysis system includes: a database to store a first reference map, which is classified as a first fault type, and a second reference map, which is classified as a second fault type; a first auto-encoder/decoder to remove a noise corresponding to the first fault type from the first reference map to generate a first pre-processed reference map; a second auto-encoder/decoder to remove a noise corresponding to the second fault type from the second reference map to generate a second pre-processed reference map; and a fault type analyzer. The database is updated based on the first and second pre-processed reference maps, and the fault type analyzer is to classify a fault type of a target map based on the updated database. The target map is generated by measuring a target wafer.
    Type: Grant
    Filed: October 11, 2019
    Date of Patent: August 29, 2023
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Chul Park, Ami Ma, Jisu Ryu, Changwook Jeong
  • Patent number: 11727557
    Abstract: A defect inspecting apparatus includes a reference image generator configured to generate a first reference image and a second reference image from design layout data. An image inspector is configured to obtain a first inspection image of a first inspection region of a photomask and a second inspection image of a second inspection region of the photomask. An operation processor is configured to extract a first coordinate offset by comparing the first inspection image with the first reference image and to extract a second coordinate offset by comparing the second inspection image with the second reference image.
    Type: Grant
    Filed: May 3, 2022
    Date of Patent: August 15, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Kang Won Lee, Cheol Ki Min, Jong Ju Park, Hyon Seok Song
  • Patent number: 11726438
    Abstract: This method includes a step of imaging, by an imaging apparatus in a substrate treatment system, a reference substrate which is a reference for condition setting and acquiring a captured image of the reference substrate; and a step of imaging, by the imaging apparatus, a treated substrate on which the predetermined treatment has been performed under a current treatment condition and acquiring a captured image of the treated substrate. A deviation amount in color information between the captured image of the treated substrate and the captured image of the reference substrate is calculated. A correction amount of the treatment condition is calculated based on a correlation model acquired in advance and on the deviation amount in the color information. Also included is a step of setting the treatment condition based on the correction amount and performing the treatment on a target substrate based on the set treatment condition.
    Type: Grant
    Filed: May 31, 2018
    Date of Patent: August 15, 2023
    Assignee: Tokyo Electron Limited
    Inventors: Takuya Mori, Tadashi Nishiyama, Akiko Kiyotomi, Hiroshi Tomita
  • Patent number: 11719533
    Abstract: A method for imaging overlay targets on a wafer includes (1) using a sensor to acquire images of overlay targets on a wafer while the wafer is in motion and (2) accelerating and decelerating the wafer to move the overlay targets into alignment with the sensor between acquiring images of the overlay targets. Accelerating/decelerating the wafer may include: (1) accelerating the wafer at a maximum acceleration and then decelerating the wafer at a maximum deceleration, (2) accelerating/decelerating the wafer in a triangular waveform pattern, (3) accelerating/decelerating the wafer in a sinusoidal pattern, or (4) accelerating/decelerating the wafer in a near-sinusoidal pattern (created by combining a pure sinusoidal profile with one or more harmonic profiles). A system is also provided for implementing the above method(s).
    Type: Grant
    Filed: March 28, 2021
    Date of Patent: August 8, 2023
    Assignee: KLA Corporation
    Inventors: David L. Brown, Andrew V. Hill, Amnon Manassen
  • Patent number: 11695880
    Abstract: An information processing method including: acquiring a superimposed image in which electronic information corresponding to an image of an object captured by an image capturing unit of an information processing apparatus is superimposed on the image; storing the superimposed image in a storage; and displaying the superimposed image stored in the storage on a display of the information processing apparatus, and even in a case where the object is not included in an image capturing range of the image capturing unit, the superimposed image stored in the storage is displayed on the display.
    Type: Grant
    Filed: December 23, 2020
    Date of Patent: July 4, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventor: Yuka Katahira
  • Patent number: 11657534
    Abstract: To provide a novel and improved information processing device that can make more efficient an inspection performed by a flying body capable of performing imaging. Provided is an information processing device including an imaging position information acquisition unit configured to acquire imaging position information at a time when a structure is imaged which is acquired by an imaging device configured to fly over a periphery of die structure to image the structure on the basis of certain flight information, and a damage data generating unit configured to use a captured image of the structure imaged by the imaging device and the imaging position information and to generate data related to damage of the structure including position information of damage of the structure included in the captured image.
    Type: Grant
    Filed: January 4, 2022
    Date of Patent: May 23, 2023
    Assignee: SONY GROUP CORPORATION
    Inventors: Kayoko Tanaka, Kohtaro Sabe, Tsutomu Sawada, Satoru Shimizu, Kousuke Suzuki, Peter Duerr, Miki Shibuya, Hironari Mizumura
  • Patent number: 11651482
    Abstract: Method for obtaining at least one significant feature in a series of components of the same type on the basis of data sets by non-destructive testing. The method includes examining a classified random sample of components which have a known production sequence, by a non-destructive testing. A three-dimensional data set for each component is obtained, and components of the sample are divided by good and rejected parts. Defect-free component regions from all of the components of the random sample are extracted. At least one feature which is characteristic of the type of component and production process which, over a predetermined time of component production, exhibits considerable characteristic differences between the good and rejected parts is determined. The determination can be accomplished using neural networks, machine learning approaches, or statistics from the field of data analytics. The at least one feature and its characteristic is defined as a trained classifier.
    Type: Grant
    Filed: April 16, 2019
    Date of Patent: May 16, 2023
    Assignee: YXLON INTERNATIONAL GMBH
    Inventors: Thomas Wenzel, Jeremy Simon
  • Patent number: 11645774
    Abstract: An image processing apparatus comprises: an obtaining unit configured to obtain an image and distance information concerning a distance from an in-focus plane, which corresponds to each pixel included in the image; a setting unit configured to set an image processing condition according to the distance information based on an output characteristic of an output apparatus concerning a sharpness; and a processing unit configured to perform image processing for the image using the distance information obtained by the obtaining unit and the image processing condition set by the setting unit, wherein the processing unit changes, in accordance with the distance information, a band of a spatial frequency of the image to which the image processing is applied.
    Type: Grant
    Filed: February 25, 2021
    Date of Patent: May 9, 2023
    Assignee: Canon Kabushiki Kaisha
    Inventors: Shinichi Miyazaki, Maya Yazawa, Hidetsugu Kagawa
  • Patent number: 11636579
    Abstract: An information processing method includes obtaining information on a deformation factor of a surface of a target substrate; obtaining a surface image of the target substrate; calculating a correction coefficient for correcting an image change due to deformation of the surface, based on the information on the deformation factor of the surface; and generating a corrected image of the target substrate by correcting the surface image of the target substrate using the correction coefficient.
    Type: Grant
    Filed: February 18, 2021
    Date of Patent: April 25, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toyohisa Tsuruda, Masato Hosaka
  • Patent number: 11631245
    Abstract: Apparatus and methods for a smart glasses device are provided. The smart glasses device may execute a prediction model on video data captured by the smart glasses device to retrain the prediction model. The prediction model may be retrained in response to a data synchronization between an output of the prediction model and a gesture captured by the smart glasses device.
    Type: Grant
    Filed: June 16, 2021
    Date of Patent: April 18, 2023
    Assignee: Bank of America Corporation
    Inventors: Sandeep Verma, Shailendra Singh, Divya Sharma, Nandini Rathaur
  • Patent number: 11620429
    Abstract: The present invention relates to a method for superimposing at least two images of a photolithographic mask, wherein the method comprises the following steps: (a) determining at least one first difference of at least one first image relative to design data of the photolithographic mask; (b) determining at least one second difference of at least one second image relative to design data of the photolithographic mask, or relative to the at least one first image; and (c) superimposing the at least one first image and the at least one second image taking account of the at least one first difference and the at least one second difference.
    Type: Grant
    Filed: June 26, 2020
    Date of Patent: April 4, 2023
    Assignee: Carl Zeiss SMT GmbH
    Inventors: Gilles Tabbone, Carsten Schmidt
  • Patent number: 11610297
    Abstract: Methods and systems for improved regularization associated with tomographically resolved image based measurements of semiconductor structures are presented herein. The regularizations described herein are based on measurement data and parameterization of a constrained voxel model that captures known process variations. The constrained voxel model is determined based on simplified geometric models, process models, or both, characterizing the structure under measurement. A constrained voxel model has dramatically fewer degrees of freedom compared to an unconstrained voxel model. The value associated with each voxel of the constrained voxel model depends on a relatively small number of independent variables. Selection of the independent variables is informed by knowledge of the structure and the underlying fabrication process. Regularization based on a constrained voxel model enables faster convergence and a more accurate reconstruction of the measured structure with less computational effort.
    Type: Grant
    Filed: November 18, 2020
    Date of Patent: March 21, 2023
    Assignee: KLA Corporation
    Inventor: Stilian Ivanov Pandev
  • Patent number: 11593941
    Abstract: An image processing apparatus acquires a first pixel size and a second pixel size that are pixel sizes in a predetermined axis direction of a first image and a second image captured at different points in time, respectively, determines whether the first pixel size and second pixel size differ from each other, and decides, if the first pixel size differs from the second pixel size, a size in a predetermined axis direction of a comparison area based on a larger one of the first pixel size and the second pixel size. The comparison area includes a plurality of gray levels, and is compared to a gray level of a position of interest in one of the first and second image, and the comparison area existing in the other of the first and second image, different from the one image.
    Type: Grant
    Filed: August 19, 2020
    Date of Patent: February 28, 2023
    Assignee: CANON KABUSHIKI KAISHA
    Inventors: Toru Tanaka, Kiyohide Satoh, Ryo Ishikawa
  • Patent number: 11580758
    Abstract: An image processing method for identifying text on production line components obtains an image to be recognized and a standard image for reference and extracts a first text area of the image to be recognized. A second text area of the standard image is obtained, and a text window is extracted based on the second text area. The method further obtains a target text area of the image to be recognized based on the first text area and the text window, and obtains a first set of first text sub-areas, and obtains a second set of second text sub-areas, by dividing the second text area into sub-windows of the text window. The method further marks the image to be recognized as a qualifying image when each first text sub-area of the first set is the same as a corresponding second text sub-area of the second set.
    Type: Grant
    Filed: October 29, 2020
    Date of Patent: February 14, 2023
    Assignee: Fulian Precision Electronics (Tianjin) Co., LTD.
    Inventors: Cheng-Ju Yang, Wan-Hsin Tarng, Pei-Chen Wu
  • Patent number: 11579096
    Abstract: A thickness estimating apparatus includes a transfer robot, a light source, a camera, a memory and a controller. The memory stores a thickness predicting model generated based on a data set including a thickness of at least one of a test wafer corresponding to the wafer or a test element layer formed on the test wafer, and the thickness predicting model being trained to minimize a loss function of the data set. The controller applies pixel data, which is acquired from at least one pixel selected from a plurality of pixels included in a captured image, to the thickness predicting model, to predict a thickness of at least one of the wafer or an element layer formed on the wafer in a position corresponding to a position of the selected pixel.
    Type: Grant
    Filed: December 9, 2020
    Date of Patent: February 14, 2023
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sungha Kim, Hyounggon Kim, Doohyun Cho, Kwangsung Lee, Jongsu Kim, Taejoong Kim, Jeongsu Ha
  • Patent number: 11580630
    Abstract: A method of inspecting images on printed products by a computer in a printing machine. Printed products are recorded and digitized by an image sensor of an image inspection system in the course of the image inspection process, and the computer compares them to a digital reference image. If deviations are found, the defective printed products are removed. The computer analyzes the deviations found in the course of the image inspection process together with further data from other system parts and from the machine, determines specific defect classes and the causes thereof based on the defects by machine learning processes, assigns the defects found in the image inspection process to the defect classes in a corresponding way, and displays the classified detected defects with their defect classes and causes to an operator of the machine so that the operator can initiate specific measures to eliminate the defect causes.
    Type: Grant
    Filed: February 4, 2021
    Date of Patent: February 14, 2023
    Assignee: Heidelberger Druckmaschinen AG
    Inventors: Frank Soltwedel, Robert Mueller, Jan Krieger, Frank Schumann, Peter Eisele
  • Patent number: 11574400
    Abstract: A method and system for automated visual inspection, include receiving, from a camera imaging an inspection line, an image of the inspection line. The image includes an item on the inspection line personal or confidential image data. A processor produces from the image of the inspection line a reduced image, which does not include the personal or confidential image data, and inputs the reduced image to an inspection process.
    Type: Grant
    Filed: July 2, 2019
    Date of Patent: February 7, 2023
    Assignee: INSPEKTO A.M.V. LTD.
    Inventor: Yonatan Hyatt
  • Patent number: 11567413
    Abstract: A method for determining measurement data of a printed pattern on a substrate. The method involves obtaining (i) images of the substrate including a printed pattern corresponding to a reference pattern, (ii) an averaged image of the images, and (iii) a composite contour based on the averaged image. Further, the composite contour is aligned with respect to a reference contour of the reference pattern and contours are extracted from the images based on both the aligned composite contour and the output of die-to-database alignment of the composite contour. Further, the method determines a plurality of pattern measurements based on the contours and the measurement data corresponding to the printed patterns based on the plurality of the pattern measurements. Further, the method determines a one or more process variations such as stochastic variation, inter-die variation, intra-die variation and/or total variation.
    Type: Grant
    Filed: January 30, 2020
    Date of Patent: January 31, 2023
    Assignee: ASML NETHERLANDS B.V.
    Inventors: Chang An Wang, Alvin Jianjiang Wang, Jiao Liang, Jen-Shiang Wang, Mu Feng
  • Patent number: 11544864
    Abstract: An apparatus includes: a processed-image acquisition part for acquiring a processed image including image information of a surface of a substrate having a target film formed thereon; an estimation part for estimating a shape characteristic value of the target film, by applying an estimation model for estimating the shape characteristic value of the target film to the processed image; an underlying influence model creation part for creating an underlying influence model associated with a correlation between a difference between an estimation result of the shape characteristic value of the target film and a shape characteristic value of the target film acquired without using the estimation model, and information related to a color of a surface of an underlying substrate before the target film is formed, and an estimated-result correction part for correcting the estimation result of the shape characteristic value of the target film based on the underlying influence model.
    Type: Grant
    Filed: December 2, 2020
    Date of Patent: January 3, 2023
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Toyohisa Tsuruda, Yusuke Yoda, Masato Hosaka
  • Patent number: 11526979
    Abstract: There are provided system and method of classifying defects in a specimen. The method includes: obtaining one or more defect clusters detected on a defect map of the specimen, each cluster characterized by a set of cluster attributes comprising spatial attributes including spatial density indicative of density of defects in one or more regions accommodating the cluster, each given defect cluster being detected at least based on the spatial density thereof meeting a criterion. The defect map also comprises non-clustered defects. Defects of interest (DOI) are identified in each cluster by performing respective defect filtrations for each cluster and non-clustered defects.
    Type: Grant
    Filed: August 14, 2020
    Date of Patent: December 13, 2022
    Assignee: APPLIED MATERIALS ISRAEL LTD.
    Inventors: Assaf Asbag, Orly Zvitia, Idan Kaizerman, Efrat Rosenman
  • Patent number: 11521874
    Abstract: A system and method for generating a quality metric relating to a fully or partially fabricated semiconductor device wafer (FPFSDW), the method including providing a spot map correlating a plurality of reference field images (RFIs) to a corresponding plurality of reference spot locations (RSLs) on at least one reference structure formed on a reference semiconductor device wafer, taking a measurement of at least a portion of at least one FPFSDW structure formed on the FPFSDW, thereby generating a measurement field image (MFI) of at least a portion of the at least one FPFSDW structure and a pupil image of the at least a portion of the at least one FPFSDW structure, identifying, for the measurement, a measurement spot location (MSL) on the at least one FPFSDW structure, using the MFI and the spot map, and generating a quality metric of the FPFSDW, using the pupil image and the MSL.
    Type: Grant
    Filed: January 13, 2021
    Date of Patent: December 6, 2022
    Assignee: KLA Corporation
    Inventor: Asaf Granot
  • Patent number: 11520967
    Abstract: There is a need for more effective and efficient printed circuit board (PCB) design. This need can be addressed by, for example, solutions for performing automated PCB component estimation. In one example, a method includes identifying a plurality of initial component estimations for the PCB; performing a shadow detection segmentation using the plurality of initial component estimations, a non-direct-lighting image, and one or more direct-lighting images to generate a first set of detected PCB components; performing a super-pixel segmentation using the plurality of initial component estimations and the non-direct-lighting-image to generate a second set of detected PCB components; and generating a bill of materials for the PCB based at least in part on the first set of detected PCB components and the second set of detected PCB components.
    Type: Grant
    Filed: February 5, 2021
    Date of Patent: December 6, 2022
    Assignee: University of Florida Research Foundation, Incorporated
    Inventors: Navid Asadi-Zanjani, Nathan Jessurun, Mark M. Tehranipoor, Olivia Pauline Paradis
  • Patent number: 11475075
    Abstract: Systems and methods for providing access to a document within a first file structure for one or more users of a content management system. Location for the content object within a file plan of a records management system is provided, in response to detecting a declaration of the document as a record. The content object is moved from the first file structure to the location in the file plan. A record marker is created in the first file structure to identify a navigation path from the first file structure to the location of the content object in the file plan.
    Type: Grant
    Filed: November 14, 2018
    Date of Patent: October 18, 2022
    Assignee: HYLAND UK OPERATIONS LIMITED
    Inventors: Roy Wetherall, Tuna Aksoy
  • Patent number: 11449984
    Abstract: Methods and systems for diagnosing a semiconductor wafer are provided. A plurality of raw images of the semiconductor wafer are obtained according to GDS information regarding a layout of a target die, by an inspection apparatus. A first image-based comparison is performed on the raw images, so as to provide a comparison result, by a determining circuitry. The comparison result indicates whether an image difference is present between the images. One of the raw images having the image difference is assigned as a defect image. A second image-based comparison is performed on a reference image and the defect image, so as to classify a defect type of the image difference, by the determining circuitry. The layout of the target die includes a circuit with a duplicate layout formed by a plurality of same cells. The number of the plurality of raw images is greater than 2.
    Type: Grant
    Filed: August 24, 2020
    Date of Patent: September 20, 2022
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Yen-Liang Chen, Jun-Xiu Liu
  • Patent number: 11422473
    Abstract: A method for improving a process model by measuring a feature on a printed design that was constructed based in part on a target design is disclosed. The method includes obtaining a) an image of the printed design from an image capture device and b) contours based on shapes in the image. The method also includes identifying, by a pattern recognition program, patterns on the target design that include the feature and determining coordinates, on the contours, that correspond to the feature. The method further includes improving the process model by at least a) providing a measurement of the feature based on the coordinates and b) calibrating the process model based on a comparison of the measurement with a corresponding feature in the target design.
    Type: Grant
    Filed: June 21, 2019
    Date of Patent: August 23, 2022
    Assignee: ASML Netherlands B.V.
    Inventors: Jiao Liang, Chen Zhang, Qiang Zhang, Yunbo Guo
  • Patent number: 11416982
    Abstract: Methods and systems for controlling a process for inspection of a specimen are provided. One system includes one or more computer subsystems configured for determining a statistical characteristic of difference images generated for multiple instances of a care area on a specimen and determining variation in the statistical characteristic compared to a statistical characteristic of difference images generated for multiple instances of the care area on one or more other specimens. In addition, the one or more computer subsystems are configured for determining one or more changes to one or more parameters used for detecting defects in the care area on the specimen based on the variation.
    Type: Grant
    Filed: September 23, 2020
    Date of Patent: August 16, 2022
    Assignee: KLA Corp.
    Inventors: Bjorn Brauer, Hucheng Lee, Sangbong Park
  • Patent number: 11397232
    Abstract: In a method for determining the T1 time and also of at least one tissue proportion per voxel in a predetermined volume segment of an examination object with a magnetic resonance (MR) sequence: a radio frequency (RF) preparation pulse is radiated in; a readout module is repeatedly run after the RF preparation pulse to acquire MR data; and the T1 time and the at least one tissue proportion per voxel is determined as a function of the MR data. The readout module can include: an RF excitation pulse at a beginning of the readout module, a phase encoding gradient, and a number of readout gradients (3a-3g) for acquiring the MR data. During running of the readout module, the MR data may be acquired, at least at times, with more than two echoes.
    Type: Grant
    Filed: December 16, 2020
    Date of Patent: July 26, 2022
    Assignee: Siemens Healthcare GmbH
    Inventor: Marcel Dominik Nickel
  • Patent number: 11395450
    Abstract: A method for determining a cause of a mounting failure for a component mounted on a substrate, which is performed by an electronic apparatus, comprises: receiving an inspection result of a mounting failure for each of a plurality of first components determined by inspecting a plurality of substrates of a first type; calculating a mounting failure rate of each of the plurality of first components using the inspection result; determining a plurality of second components in which a mounting failure has occurred based on the mounting failure rate; and determining a cause of the mounting failure for each of the plurality of second components as at least one of a component mounting position setting error, a mounting condition setting error according to a component type and a defect of a nozzle, based on the mounting failure rate of each of the plurality of first components.
    Type: Grant
    Filed: June 28, 2019
    Date of Patent: July 19, 2022
    Assignee: KOH YOUNG TECHNOLOGY INC.
    Inventors: Jong Myoung Lee, Duk Young Lee, Su Hyeong Choi, Hyeon Su Jeong
  • Patent number: 11385192
    Abstract: Provided is an inspection apparatus including: an irradiation source irradiating a first pattern formed on an inspection target object with an electron beam; a detection circuit acquiring a first inspection image generated from the first pattern by irradiation; a filter circuit performing smoothing using a local region having a first size in a direction parallel to a first outline included in the first inspection image and a second size smaller than the first size in a direction perpendicular to the first outline and acquiring a second inspection image including a second outline generated by the smoothing; and a comparison circuit comparing the second inspection image with a predetermined reference image.
    Type: Grant
    Filed: June 22, 2020
    Date of Patent: July 12, 2022
    Assignee: NuFlare Technology, Inc.
    Inventors: Masataka Shiratsuchi, Riki Ogawa, Hiromu Inoue
  • Patent number: 11379972
    Abstract: A system of classifying a pattern of interest (POI) on a semiconductor specimen, where the system includes a processor and memory circuitry configured to obtain a high-resolution image of the POI, and generate data usable for classifying the POI in accordance with a defectiveness-related classification. Generating the data utilizes a machine learning model that has been trained in accordance with training samples. The training samples include a high-resolution training image captured by scanning a respective training pattern on a specimen, the respective training pattern being similar to the POI, and a label associated with the image. The label is derivative of low-resolution inspection of the respective training pattern.
    Type: Grant
    Filed: June 3, 2020
    Date of Patent: July 5, 2022
    Assignee: Applied Materials Israel Ltd.
    Inventors: Irad Peleg, Ran Schleyen, Boaz Cohen
  • Patent number: 11373292
    Abstract: An image generation device and an appearance inspection device which can increase the number of types of training image are provided. An image generation device includes an image acquisition unit configured to acquire a first image; and an image generator configured to generate a training image based on the first image, wherein the first image depicts a first defect included in a first product of a different type the product to be inspected, and the training image is a image to be read by an identifier that identifies whether an inspection image obtained by imaging the product to be inspected depicts a same type of defect as the first defect and to be used by the identifier to perform learning.
    Type: Grant
    Filed: December 19, 2019
    Date of Patent: June 28, 2022
    Assignee: TDK CORPORATION
    Inventor: Takeshi Tsutsumi
  • Patent number: 11330164
    Abstract: Methods and systems for determining focus settings for use in a specimen scan are provided. One method includes generating a focus map defined as values of best focus as a function of position on a specimen using output generated in one or more pre-focus swaths scanned on the specimen by an output acquisition subsystem configured to direct energy to a specimen, to detect energy from the specimen, and to generate output responsive to the detected energy. The method also includes interpolating the focus map to generate focus settings for a scan performed on the specimen during a process and storing information for the generated focus settings for use in the scan performed on the specimen during the process.
    Type: Grant
    Filed: March 8, 2021
    Date of Patent: May 10, 2022
    Assignee: KLA Corp.
    Inventors: Bryant Mantiply, Xiumei Liu, Matthew Giusti, Kai Cao, Richard Wallingford
  • Patent number: 11313806
    Abstract: A defect image including a defect and a defect-free image not including a defect for an article different from an inspection article are acquired to teach an identifier when inspecting for a defect in the inspection article. The identifier that has learned the images is made to identify whether an extracted inspection image obtained by segmenting the inspection image of the inspection article includes the defect and the identification results of the identifier are used to determine whether a defect is present in the inspection article. When teaching the identifier the defect, the identifier is provided with, as learning images, a plurality of extracted defect images generated from the defect image by changing an extracting region for extraction from the defect image such that the defect in the defect image is at a different position in each of the plurality of extracted defect images.
    Type: Grant
    Filed: July 25, 2018
    Date of Patent: April 26, 2022
    Inventors: Hirotaro Tada, Akihiko Sugiura
  • Patent number: 11315342
    Abstract: Automated explanation of machine learning predictions, by: Obtaining an input, a machine learning model, and a classification score produced by application of the machine learning model to the input. Optimizing iterative application of the machine learning model to perturbations of the input, wherein a target of said optimizing is at least a predefined non-zero classification score. Generating, based on one of the perturbations which achieved the predefined non-zero classification score, an explanation to the classification score produced by the application of the machine learning model to the input.
    Type: Grant
    Filed: November 21, 2019
    Date of Patent: April 26, 2022
    Assignee: International Business Machines Corporation
    Inventors: Yoel Shoshan, Vadim Ratner
  • Patent number: 11315232
    Abstract: A method of determining whether a substrate is properly polished includes obtaining an image of the substrate, obtaining intensity values of a luminance plane for the image, generating an intensity histogram from the intensity values of the luminance plane, and analyzing the intensity histogram to determine whether the intensity histogram meets one or more criteria.
    Type: Grant
    Filed: October 21, 2019
    Date of Patent: April 26, 2022
    Assignee: Applied Materials, Inc.
    Inventors: Dominic J. Benvegnu, Nojan Motamedi
  • Patent number: 11301978
    Abstract: An image generating part generating feature extraction images by applying an identification part, which has completed learning, that has executed learning in advance to extract features using learning image data to an inspection image, an inspection part specifying an area corresponding to a defect on the basis of judgment parameters for judging presence/absence of a defect in the inspection target object and a binary image generated on the basis of the feature extraction images, and a setting part calculating an image score based on a density of a color of pixels of a setting image using the setting image that is the binary image in which an area corresponding to the defect is specified and updating the judgment parameters such that a difference between an image score of the inside of the area and an image score of the outside of the area becomes relatively large are included.
    Type: Grant
    Filed: January 17, 2019
    Date of Patent: April 12, 2022
    Assignee: OMRON Corporation
    Inventors: Yasuyuki Ikeda, Masashi Kurita
  • Patent number: 11302545
    Abstract: The present disclosure provides a system and a method for controlling semiconductor manufacturing equipment. The system includes a sensor, a sensor interface, and an analysis unit. The sensor provides a sensor signal. The sensor interface receives the sensor signal and generates an input signal for a database server. A front-end subsystem receives the input signal from the database server and performs a comparison process to generate a data signal. A calculation subsystem performs an artificial intelligence analytical process to generate an optimal parameter set and a simulated result map according to the data signal. A message and tuning subsystem generates an alert signal and a feedback signal according to the optimal parameter set and the simulated result map, and the message and tuning subsystem transmits the alert message to a user of the semiconductor manufacturing equipment.
    Type: Grant
    Filed: March 20, 2020
    Date of Patent: April 12, 2022
    Assignee: NANYA TECHNOLOGY CORPORATION
    Inventors: Jheng-Ting Jhong, Wei-Pin Lin, Ching-Mao Huang