Apparatus For Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/217)
  • Patent number: 8950999
    Abstract: Any particle adhesion onto the surface of a substrate to be processed is prevented. There is provided a substrate processing apparatus characterized by including a transfer chamber for, via a gate to which a substrate accommodating container for accommodation of the substrate is set, performing transfer of the substrate between the same and the substrate accommodating container, a processing chamber for applying a specific process to the substrate, a load-lock chamber for linking the processing chamber with the transfer chamber, and a temperature control unit for at the stage of transferring the substrate into at least one of the transfer chamber and the load-lock chamber, so as for the temperature of the substrate just before the transfer thereof to be higher than the temperature of the interior of the chamber, into which the substrate will be transferred, controlling at least one of the temperature of the substrate and the temperature of the interior of the chamber.
    Type: Grant
    Filed: January 31, 2008
    Date of Patent: February 10, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Akitake Tamura, Teruyuki Hayashi
  • Patent number: 8944739
    Abstract: A wafer handling system with apparatus for transporting wafers between semiconductor fabrication tools. In one embodiment, the apparatus is a loadport bridge mechanism including an enclosure having first and second mounting ends, a docking port at each end configured and dimensioned to interface with a loadport of a semiconductor tool, and at least one wafer transport robot operable to transport a wafer between the docking ports. The wafer transport robot hands off or receives a wafer to/from a tool robot at the loadports of a first and second tool. The bridge mechanism allows one or more wafers to be transferred between loadports of different tools on an individual basis without reliance on the FAB's automated material handling system (AMHS) for bulk wafer transport inside a wafer carrier such as a FOUP or others.
    Type: Grant
    Filed: June 1, 2012
    Date of Patent: February 3, 2015
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Shih-Hung Chen, Ying Xiao, Chin-Hsiang Lin
  • Patent number: 8944738
    Abstract: Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: February 3, 2015
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8936507
    Abstract: Provided is a semiconductor manufacturing apparatus including an atmospheric transfer device capable of suppressing corrosion of a part without having a corrosion resistant part and, also, capable of taking anti-corrosion measures in a cost effective way. The semiconductor manufacturing apparatus 100, installed in a clean room, includes an atmospheric transfer device 116; an atmospheric transfer chamber 108 for accommodating the atmospheric transfer device 116; a cover member 118 for separating a part of the atmospheric transfer device 116 from the atmospheric transfer chamber 108; and a gas exhaust unit 120 that adjusts a region 119 enclosed by the cover member 118 to have a lower pressure than that of the atmospheric transfer chamber 108. Here, the cover member 118 is made of a corrosion resistant material or an anti-corrosion treatment may be performed on the cover member.
    Type: Grant
    Filed: October 22, 2010
    Date of Patent: January 20, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Fumiaki Sato
  • Publication number: 20150016926
    Abstract: A storage Pod for semiconductor substrates includes a top cover formed from a non-air permeable (NAP) material having faces including a top and a plurality of sides. A bottom base plate has a locking structure configured for providing a locking position for locking the sides, and for providing an unlocked position where the sides are detached from the bottom base plate. The top cover includes at least one aperture in the NAP material for allowing surrounding gases in an environment around the storage Pod to flow into the storage Pod to permit a gas sensor within the storage Pod to sense the target gas.
    Type: Application
    Filed: October 2, 2014
    Publication date: January 15, 2015
    Applicant: TEXAS INSTRUMENTS INCORPORATED
    Inventor: Young Sawk Oh
  • Patent number: 8926251
    Abstract: The present invention provides a container storage facility in which inert gas can be supplied to a container in a container storage section that can be moved between the storage position and the transfer position while preventing deterioration of the gas supply line and generation of dust to the extent possible. The container storage section of the container storage facility in accordance with the present invention includes a container support provided to be movable with respect to the fixed frame member between a storage position and a transfer position for transferring the container to and from a transporting device. The gas supply line of gas supply system for supplying the inactive gas to the container supported by the container support of the storage position includes a fixed side portion and a moving side portion which can be moved in unison with the container support.
    Type: Grant
    Filed: August 17, 2011
    Date of Patent: January 6, 2015
    Assignee: Daifuku Co., Ltd.
    Inventors: Yukio Iizuka, Suguru Shibata
  • Patent number: 8919830
    Abstract: A barrier isolator port assembly for connecting to a conventional docking port of an isolated enclosure is provided. The port assembly includes an annular body, a door assembly and a lock. The annular body is configured to engage a docking port of the isolated enclosure. The port assembly also includes a door assembly mountable within the annular body and a lock operatively connected to the annular body. The lock includes a lever and a pin. The lever is pivotably connected to the annular body about a pivoting member and configured to pivot about the pivoting member to removably insert the pin into the recess of the door assembly.
    Type: Grant
    Filed: November 5, 2009
    Date of Patent: December 30, 2014
    Assignee: West Pharmaceutical Services, Inc.
    Inventors: Paul H. Norton, Edward Vander Bush, W. Edward Hill, Robert Nase
  • Patent number: 8924118
    Abstract: A transfer system according to an embodiment includes a transfer room, a robot, a trajectory generator, a determination unit, and an output unit. The transfer room has an exclusive area defined by a predetermined distance. The robot has an arm unit that is equipped with a robot hand transferring a thin plate-like workpiece and that operates in horizontal directions. The robot is installed in the transfer room so that a minimum turning area of the arm unit overlaps with a part of the exclusive area. The transfer system generates a trajectory of the robot hand, then determines, based on the generated trajectory, whether a part of the arm unit is included in the exclusive area, and outputs a predetermined signal.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: December 30, 2014
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Daisuke Shin, Yoshiki Kimura
  • Patent number: 8920097
    Abstract: A wafer handling system includes providing a loadlock tray assembly having a plurality of vertically-stacked loadlock trays; and mounting nipples around each of the plurality of vertically-stacked loadlock trays for supporting a plurality of wafers, each of the nipple having a flat top.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: December 30, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventor: Soon Chye Chan
  • Patent number: 8918203
    Abstract: A substrate processing apparatus including a frame, a first SCARA arm connected to the frame, including an end effector, configured to extend and retract along a first radial axis; a second SCARA arm connected to the frame, including an end effector, configured to extend and retract along a second radial axis, the SCARA arms having a common shoulder axis of rotation; and a drive section coupled to the SCARA arms is configured to independently extend each SCARA arm along a respective radial axis and rotate each SCARA arm about the common shoulder axis of rotation where the first radial axis is angled relative to the second radial axis and the end effector of a respective arm is aligned with a respective radial axis, wherein each end effector is configured to hold at least one substrate and the end effectors are located on a common transfer plane.
    Type: Grant
    Filed: March 12, 2012
    Date of Patent: December 23, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, Robert T. Caveney, Jayaraman Krishnasamy, Mitchell Drew, Jairo T. Moura
  • Publication number: 20140363258
    Abstract: A load port unit can prevent or control leakage of inert gas from an EFEM system to the outside. The load port unit used in the EFEM system is provided with an air inlet that opens on a side facing a mini-environment between the upper end of an opener driving unit and the lower end of the pod. The width of the air inlet opening is larger than the width of the opening of the pod. With this arrangement, surplus gas is sucked from the pod when gas purging is performed on the pod.
    Type: Application
    Filed: May 30, 2014
    Publication date: December 11, 2014
    Applicant: TDK Corporation
    Inventors: Tadamasa Iwamoto, Jun Emoto, Toshihiko Miyajima, Hidenori Tsutsui
  • Patent number: 8909369
    Abstract: A transport device is configured to transport different kinds of articles which have different lengths from the held portion or a position corresponding to the held portion to one end portion along the fore and aft direction. There are provided an article detection device for detecting an article, end detection device for detecting the one end portion, along the fore and aft direction, of the article detected by the article detecting device, and a determination device for determining the kind of the article based on detected information from the article detecting device and detected information from the end detection device.
    Type: Grant
    Filed: December 15, 2011
    Date of Patent: December 9, 2014
    Assignee: Daifuku Co., Ltd.
    Inventors: Shinsuke Kawamura, Takahiro Horii
  • Patent number: 8898926
    Abstract: The present invention is directed to a substrate dryer, a substrate treating apparatus including the substrate dryer, and a substrate treating method. The substrate dryer includes a chamber, a process chamber constituting one part of the chamber and provided for supplying supercritical fluid to a substrate to dry the substrate, and a high-pressure chamber constituting the other part of the chamber and provided for boosting the process chamber above a critical pressure. According to the present invention, the substrate drying chamber is boosted fast by the high-pressure chamber to change to a supercritical state and thus a substrate dry treatment is performed using supercritical fluid.
    Type: Grant
    Filed: May 31, 2007
    Date of Patent: December 2, 2014
    Assignee: Semes Co. Ltd.
    Inventors: Jung Keun Cho, Kyo-Woog Koo
  • Patent number: 8899291
    Abstract: A laminating apparatus is provided which causes a resin film to completely conform to protruding and recessed portions of a substrate, and which makes the film thickness of the conforming resin film uniform on a stricter level. To this end, the laminating apparatus includes a laminating mechanism including: an enclosed space forming receiver capable of receiving a provisionally laminated body therein; and a pressure laminator for applying pressure to the provisionally laminated body in non-contacting relationship in an enclosed space formed by the enclosed space forming receiver to form an end laminated body from the provisionally laminated body.
    Type: Grant
    Filed: July 19, 2011
    Date of Patent: December 2, 2014
    Assignees: Nichigo-Morton Co., Ltd., Shin-Etsu Chemical Co., Ltd.
    Inventors: Ryoichi Yasumoto, Kazutoshi Iwata, Kinya Kodama, Grigoriy Basin
  • Publication number: 20140348618
    Abstract: A robot for use in vacuum chambers is disclosed. The robot may be mounted within an oblong transfer chamber and may be translated within the transfer chamber by an umbilical arm operating in conjunction with a linear motion guide and carriage. Motors or drive systems for the robot may be housed in atmospheric conditions, and the transfer chamber may be kept at a vacuum. The robot may include one or more arms configured for wafer handling. The robot may include one or more motors or drive systems and a multi-axial seal to realize independent extension/retraction of each arm and overall simultaneous rotation of the arm assembly.
    Type: Application
    Filed: May 24, 2013
    Publication date: November 27, 2014
    Applicant: Novellus Systems, Inc.
    Inventor: Richard M. Blank
  • Patent number: 8893642
    Abstract: In various exemplary embodiments described herein, a system and related method to provide airflow management system in a substrate production tool includes a housing to couple the substrate production tool to a fan filter unit to provide filtered air to the housing, a facility connection to couple the substrate production tool to a reduced pressure exhaust mechanism, a substrate transfer section coupled below the housing and in airflow communication with the facility connection, and a substrate process area coupled to the substrate transfer section by one or more substrate transfer slots. A chamber substantially containing the substrate transfer section and the substrate process area is coupled to the housing to receive the filtered air and to the facility connection to provide an exhaust for excess gas flow. The chamber maintains a low pressure in the substrate process area relative to the substrate transfer section.
    Type: Grant
    Filed: March 24, 2010
    Date of Patent: November 25, 2014
    Assignee: Lam Research Corporation
    Inventor: Eric H. Lenz
  • Patent number: 8893877
    Abstract: In a device (4) for receiving a piece of baggage (2) for transport, for example at an airport, the device comprises a receiving room (18A) with an infeed opening and a discharge opening. The receiving room is provided with a closing assembly, the closing assembly comprising a closing mechanism (22, 24). The device furthermore comprises a conveyor unit (16, 20) for discharging the piece of baggage from the receiving room through the discharge opening. The closing assembly is equipped at any time to keep at least one or other of the infeed opening and the discharge opening closed. In this way a device according to the invention is equipped to protect deposited baggage from third parties and to prevent persons from following the piece of baggage to the areas not accessible to the public. The present invention also provides a method for receiving a piece of baggage, which method is in accordance with the functioning of the above-mentioned device.
    Type: Grant
    Filed: January 7, 2008
    Date of Patent: November 25, 2014
    Assignee: Scarabee ID B.V.
    Inventor: Michael Kim Tan
  • Publication number: 20140342558
    Abstract: A treating section has substrate treatment lines arranged one over the other for treating substrates while transporting the substrates substantially horizontally. An IF section transports the substrates fed from each substrate treatment line to an exposing machine provided separately from this apparatus. The substrates are transported to the exposing machine in the order in which the substrates are loaded into the treating section. The throughput of this apparatus can be improved greatly, without increasing the footprint, since the substrate treatment lines are arranged one over the other. Each substrate can be controlled easily since the order of the substrates transported to the exposing machine is in agreement with the order of the substrates loaded into the treating section.
    Type: Application
    Filed: July 30, 2014
    Publication date: November 20, 2014
    Inventors: Hiroyuki OGURA, Tsuyoshi MITSUHASHI, Yoshiteru FUKUTOMI, Kenya MORINISHI, Yasuo KAWAMATSU, Hiromichi NAGASHIMA
  • Patent number: 8888434
    Abstract: The present invention relates to apparatuses and methods to store and transfer objects, and more particularly to workpiece stocker configurations such as stocker for semiconductor wafers, reticles or carrier boxes.
    Type: Grant
    Filed: September 5, 2011
    Date of Patent: November 18, 2014
    Assignees: Dynamic Micro System
    Inventor: Lutz Rebstock
  • Patent number: 8888920
    Abstract: The present invention is an imprint system including an imprint unit transferring a transfer pattern to a coating film formed on a substrate using a template having the transfer pattern formed on a front surface thereof to form a predetermined pattern in the coating film, the imprint system including: a substrate carry-in/out station connected to the imprint unit, capable of keeping a plurality of the substrates, and carrying the substrate into/out of the imprint unit side; and a template carry-in/out station connected to the imprint unit, capable of keeping a plurality of the templates, and carrying the template into/out of the imprint unit side at a predetermined timing.
    Type: Grant
    Filed: June 11, 2010
    Date of Patent: November 18, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Patent number: 8882429
    Abstract: A transfer device for transferring a transfer target object includes a support member, which is movable forward and backward, for supporting the transfer target object, and a sensor for detecting that a tip of the support member comes into contact with an obstacle when the support member moves forward. The sensor includes a first conductive ring having flexibility, which is provided at the tip of the support member in a grounded state, a second conductive ring provided inside the first conductive ring and separated from the first conductive ring, and a detector which detects that the first conductive ring and the second conductive ring are short-circuited when the first conductive ring comes into contact with the second conductive ring by being deformed due to a contact with the obstacle.
    Type: Grant
    Filed: September 14, 2011
    Date of Patent: November 11, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Youhei Yamada
  • Patent number: 8869967
    Abstract: A dynamic load lock chamber that includes a plurality of actuators positioned along its length to achieve a desired pressure gradient from an atmospheric pressure side to a processing pressure side of the chamber is provided. The chamber includes a transport belt continuously running through the chamber to transport substrates from the atmospheric pressure side to the processing pressure side of the chamber, if situated on an inlet side of a production line, and from the processing pressure side to the atmospheric pressure side of the chamber, if positioned on an outlet side of the production line. Separation mechanisms may be attached to the belt to separate discrete regions within the chamber into a plurality of discrete volumes. Substrates may be disposed between the separation mechanisms, such that separation between adjacent pressure regions within the chamber is maintained as the substrates are transported through the chamber.
    Type: Grant
    Filed: January 23, 2013
    Date of Patent: October 28, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Wolfgang Buschbeck, Juergen Henrich, Andreas Lopp, Susanne Schlaefer
  • Patent number: 8870512
    Abstract: An electronic device manufacturing system is disclosed. The system includes a processing tool having one or more processing chambers each adapted to perform an electronic device manufacturing process on one or more substrates; a substrate carrier adapted to couple to the system and carry one or more substrates; and a component adapted to create a sealed environment relative to at least a portion of the substrate carrier and to substantially equalize the sealed environment with an environment within the substrate carrier. Methods of the invention are described as are numerous other aspects.
    Type: Grant
    Filed: October 23, 2008
    Date of Patent: October 28, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Michael Robert Rice, Jeffrey C. Hudgens
  • Patent number: 8870514
    Abstract: A semiconductor handling system including a vacuum workpiece handling system having a vacuum environment therein, the vacuum workpiece handling system including at least two workpiece handling robotic facilities, a mid-entry station positioned between the at least two workpiece handling robotic facilities, the mid-entry station including vertically stacked load locks, where the at least two workpiece handling robotic facilities are configured to transfer workpieces between the vertically stacked load locks, at least one workpiece loading station connected to the vacuum handling system, and a workpiece delivery system having an internal environment different from the vacuum environment, the workpiece delivery system being configured to transport the workpieces between each of the vertically stacked load locks of the mid-entry station and the at least one workpiece loading station.
    Type: Grant
    Filed: September 14, 2012
    Date of Patent: October 28, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Patent number: 8870513
    Abstract: A transport arrangement (100) for bi-directionally transporting substrates towards and from a load lock (5) comprises a first substrate handler (1) swivelable about a first axis (A1) and with at least two first substrate carriers (1a, 1b). A second substrate handler (20) swivelable about a second axis (A20) comprises at least four second substrate carriers (20a to 20d). First and second substrate carriers are mutually aligned respectively in one position of their respective swiveling trajectory paths as one of the first substrate carriers is aligned with one of the second substrate carriers and the other of the first substrate carriers is aligned with the load lock (5). The first substrate carriers (1a, 1b) are movable towards and from the load lock (5) once aligned there with and thereby form respectively external valves of the load lock (5).
    Type: Grant
    Filed: March 11, 2010
    Date of Patent: October 28, 2014
    Assignee: Oerlikon Advanced Technologies AG
    Inventors: Stephan Voser, Gerhard Dovids
  • Patent number: 8851817
    Abstract: The present invention is a wafer transfer system that transports individual wafers between chambers within an isolated environment. In one embodiment, a wafer is transported by a wafer shuttle that travel within a transport enclosure. The interior of the transport enclosure is isolated from the atmospheric conditions of the surrounding wafer fabrication facility. Thus, an individual wafer may be transported throughout the wafer fabrication facility without having to maintain a clean room environment for the entire facility. The wafer shuttle may be propelled by various technologies, such as, but not limited to, magnetic levitation or air bearings. The wafer shuttle may also transport more than one wafer simultaneously. The interior of the transport enclosure may also be under vacuum, gas-filled, or subject to filtered air.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: October 7, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Publication number: 20140294541
    Abstract: The present application provides a workpiece transfer system in which a production efficiency of a production line to be used can be improved. For example, a workpiece transfer system 1 includes: robots 11 & 12 placed in front of process modules 4 & 6 for conducting a predetermined processing operation on a workpiece W, the robots 11 & 12 bringing the workpiece W into the process modules 4 & 6 and taking the workpiece W out of the process modules 4 & 6; a workpiece storage unit 13 for storing the workpiece W to be brought into the process modules 4 & 6 and the workpiece W taken out of the process modules 4 & 6; and a transfer mechanism 14 for transferring the workpiece storage unit 13 in a direction almost perpendicular to a direction of bringing in and taking out the workpiece W for the process modules 4 & 6.
    Type: Application
    Filed: November 20, 2012
    Publication date: October 2, 2014
    Applicant: NIDEC SANKYO CORPORATION
    Inventor: Shigeyuki Kaino
  • Patent number: 8840728
    Abstract: The present invention is a template treatment apparatus forming a film of a release agent on a template having a transfer pattern formed on a front surface thereof, the template treatment apparatus including: a treatment station forming a film of a release agent on the front surface of the template; and a template carry-in/out station capable of keeping a plurality of the templates, and carrying the template into/out of the treatment station, wherein the treatment station includes: a cleaning unit cleaning the front surface of the template; a coating unit applying a release agent to the cleaned front surface of the template; a heating unit baking the applied release agent; and a carry unit carrying the template to the cleaning unit, the coating unit, and the heating unit.
    Type: Grant
    Filed: June 21, 2010
    Date of Patent: September 23, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Shoichi Terada, Yoshio Kimura, Takahiro Kitano
  • Publication number: 20140271049
    Abstract: An operating ratio is improved in a vacuum processing apparatus to which a plurality of vacuum transfer chambers are connected through a vacuum transfer intermediate chamber. In a method of operating the vacuum processing apparatus having the plurality of vacuum transfer chambers connected through the vacuum transfer intermediate chamber and a plurality of vacuum processing vessels connected to the vacuum transfer chambers, respectively, the plurality of vacuum transfer chambers are made to communicate through the vacuum transfer intermediate chamber, a purge gas is supplied to the vacuum transfer chamber connected to a lock chamber in the plurality of vacuum transfer chambers, an inside of the transfer chamber of the vacuum transfer chamber which is far from the lock chamber is decompressed/exhausted, and pressures in all the transfer chambers of the plurality of vacuum transfer chambers are raised to be higher than the pressure in the vacuum processing vessel.
    Type: Application
    Filed: February 19, 2014
    Publication date: September 18, 2014
    Inventors: Ryoichi Isomura, Yutaka Kudo, Takahiro Shimomura
  • Publication number: 20140271048
    Abstract: A load lock having a reduced volume, thereby allowing faster pumping and venting, is disclosed. The load lock uses a movable bottom wall to modify the volume of the chamber to be pumped. In a first position, the movable wall is disposed so as to create a small internal volume. In a second position, the bottom wall is moved downward, allowing the workpiece to be in contact with a process chamber or an exit aperture. The bottom wall may be sealed in the first position through the use of a sealing mechanism, such as a magnetic clamp. The bottom wall may also include a workpiece holding mechanism. The top wall may be a removable cover, which is moved by an actuator. A robotic mechanism may supply workpieces to the load lock while the top wall is in the open position.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
  • Publication number: 20140271052
    Abstract: In one embodiment, a substrate transfer device is equipped with a chamber wall, a table, a linear motor transfer mechanism, an optical window, and a laser measuring instrument. The chamber wall defines a transfer space. The table is housed within the transfer space. It is possible for a substrate to be loaded on the table. The linear motor transfer mechanism moves the table within the transfer space, by a linear motor. The optical window is installed between the transfer space and the space to the outside of the transfer space. For example, the optical window is disposed so as to seal off an opening defined in the chamber wall. The laser measuring instrument irradiates a laser light through the optical window and towards the table, receives reflected light from the table, and measures the position of the table.
    Type: Application
    Filed: October 2, 2012
    Publication date: September 18, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Publication number: 20140271051
    Abstract: A substrate processing apparatus for processing a substrate includes a transfer device which has a drive motor and transfers a substrate, a peripheral device which has a drive motor and loads and unloads the substrate in substrate-transfer operation of the transfer device, and a control device which conducts drive control on the drive motor of the transfer device and drive control of the drive motor of the peripheral device.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu HIROKI
  • Publication number: 20140271050
    Abstract: A wafer handling system may include upper and lower linked robot arms that may move a wafer along a nonlinear trajectory between chambers of a semiconductor processing system. These features may result in a smaller footprint in which the semiconductor processing system may operate, smaller transfer chambers, smaller openings in process chambers, and smaller slit valves, while maintaining high wafer throughput. In some embodiments, simultaneous fast wafer swaps between two separate chambers, such as load locks and ALD (atomic layer deposition) carousels, may be provided. Methods of wafer handling are also provided, as are other aspects.
    Type: Application
    Filed: March 10, 2014
    Publication date: September 18, 2014
    Inventors: William Tyler Weaver, Malcolm N. Daniel, JR., Robert B. Vopat, Jason M. Schaller, Jacob Newman, Dinesh Kanawade, Andrew J. Constant, Stephen C. Hickerson, Jeffrey C. Hudgens, Marvin L. Freeman
  • Patent number: 8834088
    Abstract: Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.
    Type: Grant
    Filed: November 12, 2007
    Date of Patent: September 16, 2014
    Assignee: Intevac, Inc.
    Inventor: Stuart Scollay
  • Patent number: 8827617
    Abstract: A substrate processing apparatus including a transport chamber having an end and defining more than one substantially linear substrate transport zone where each transport zone extends longitudinally along the transport chamber between opposing walls of the transport chamber and at least one of the more than one substantially linear substrate transport zones is configured as a supply zone for enabling transport of substrates from the end and at least one of the more than one substantially linear substrate transport zones is configured as a return zone for enabling transport of substrates to the end, and at least one substrate transport located in and movably mounted to the transport chamber for transporting substrates along the more than one substantially linear substrate transport zone, where each substrate transport zone is configured to allow the at least one substrate transport to move from one transport zone to another transport zone.
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: September 9, 2014
    Assignee: Brooks Automation Inc.
    Inventors: Christopher Hofmeister, Robert T. Caveney
  • Patent number: 8827695
    Abstract: A semiconductor manufacturing system, an interface system, a carrier, and a method for providing an ambient controlled environment is disclosed. The semiconductor manufacturing system comprises a plurality of process chambers; at least one interface system, wherein the interface system includes a first ambient control element; at least one carrier, wherein the carrier comprises a second ambient control element; and a control module coupled to the plurality of process chambers, the at least one interface system, and the at least one carrier.
    Type: Grant
    Filed: May 5, 2009
    Date of Patent: September 9, 2014
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Yi-Li Hsiao, Chen-Hua Yu, Jean Wang, Ming-che Ho, Chien-Ling Hwang, Jui-Pin Hung
  • Patent number: 8821098
    Abstract: A load port is disclosed which allows a wafer to be transferred between the inside of a FOUP and the inside of a semiconductor fabrication apparatus even during a purge operation. The load port is provided adjacent the semiconductor fabrication apparatus in a clean room and includes a purge stage having a purge port through which a gas atmosphere in the FOUP is replaced into nitrogen gas or dry air, an opener stage provided in a juxtaposed relationship with the purge stage and having an opening communicating with the inside of the semiconductor fabrication apparatus and a door section capable of opening and closing the opening, and a moving mechanism for moving the FOUP between the purge stage and the opener stage.
    Type: Grant
    Filed: December 1, 2009
    Date of Patent: September 2, 2014
    Assignee: Sinfonia Technology Co., Ltd.
    Inventors: Mitsuo Natsume, Shin Kawahisa, Takumi Mizokawa
  • Patent number: 8814488
    Abstract: A substrate processing apparatus comprises a storage container for storing multiple substrates and whose substrate loading and unloading opening is sealed by a lid, a load port for placing the storage container, an attaching and detaching device for attaching and detaching the lid on the substrate loading and unloading opening in the load port, a first placement unit for mounting the storage container in the load port and moving away from and near the attaching and detaching device, and a second placement unit provided separately from the first placement unit, for mounting the storage container in the load port and moving up and down relative to the attaching and detaching device.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 26, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Yukinori Aburatani
  • Patent number: 8814489
    Abstract: A substrate processing system includes a processing chamber that performs a preset process on a plurality of substrates in a batch-type manner; a substrate mounting table, installed within the processing chamber, configured to mount the plurality of substrates on a concentric circle and configured to be rotatable forward and backward; substrate accommodation units configured to accommodate the plurality of substrates in multi-stages in a vertical direction; substrate holders and configured to transfer the substrates between the substrate accommodation units and the processing chamber; elevating mechanisms configured to move the substrate accommodation units up and down. Unprocessed substrates are mounted on the substrate mounting table while the substrate mounting table is being rotated in one direction.
    Type: Grant
    Filed: March 16, 2010
    Date of Patent: August 26, 2014
    Assignee: Tokyo Electron Limited
    Inventors: Hiromitsu Sakaue, Takashi Horiuchi
  • Patent number: 8815616
    Abstract: There is provided a slit valve unit including: a body disposed on an outer side of a process chamber and having an entrance connected to an opening of the process chamber; a slit valve provided in an internal space of the body and selectively opening and closing the entrance; a plurality of packing members provided along the circumference of the entrance on an inner side of the body and tightly attached to the slit valve when the slit valve shields the entrance; and a connection pipe having one end exposed between the plurality of packing members on the inner side of the body so as to be connected to an airtight space formed among the plurality of packing members, the body, and the slit valve, and the other end exposed to the outer side of the body, the connection pipe penetrating the body.
    Type: Grant
    Filed: March 15, 2013
    Date of Patent: August 26, 2014
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Sang Kyu Bang, Sung Don Kwak, Choo-Ho Kim, Won Soo Ji
  • Publication number: 20140234057
    Abstract: Provided are apparatus and methods for simultaneously swapping a processed wafer with an unprocessed wafer. A robot with a rotatable stage, a first blade assembly and second blade assembly extends both assemblies at the same time in opposite directions to pick up both a processed and unprocessed wafer. Rotation of the robot allows the unprocessed wafer to be placed in the position previously occupied by the processed wafer and vice versa.
    Type: Application
    Filed: February 14, 2014
    Publication date: August 21, 2014
    Inventor: Jacob Newman
  • Patent number: 8807616
    Abstract: A robot hand includes a mounting surface to which a work unit is mounted with some freedom of horizontal movement. A pair of anti-fall hooks is formed at a tip portion of the mounting surface to support a front edge portion of the work unit so as to prevent the work unit from falling off the mounting surface. A pair of support section is provided at a rear edge portion of the mounting surface to support a rear edge portion of the work unit. If, during placement of the work unit into a cassette, the work unit collides with the cassette, the work unit comes into contact with one of the support sections, and the work unit is rotated on the mounting surface about the contact point as a fulcrum so as to correct the misalignment of the work unit.
    Type: Grant
    Filed: June 13, 2013
    Date of Patent: August 19, 2014
    Assignee: Disco Corporation
    Inventor: Satoshi Yamanaka
  • Patent number: 8807905
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: June 13, 2011
    Date of Patent: August 19, 2014
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Publication number: 20140219749
    Abstract: A substrate processing apparatus includes a housing, a transfer robot, and a robot controller. The housing discharges downflow air from a bottom wall side. The transfer robot disposed inside the housing includes an elevating mechanism that vertically moves an arm section capable of holding a conveyed article. The robot controller disposed inside the housing has a cover whose two surfaces are opened, the opened two surfaces being a bottom surface and a side surface that faces a side surface on which a fan is disposed.
    Type: Application
    Filed: April 7, 2014
    Publication date: August 7, 2014
    Applicant: KABUSHIKI KAISHA YASKAWA DENKI
    Inventor: Shinichi KOBUCHI
  • Patent number: 8794896
    Abstract: A vacuum processing apparatus includes a vacuum processing chamber; a load lock chamber connected to the vacuum processing chamber via a gate valve or via a gate valve and a depressurized space and also connected to an atmospheric space via a door valve, an interior atmosphere of the load lock chamber being changed between a substantially atmospheric state and a depressurized state; an air blowing portion, provided at a vicinity of the door valve in the atmospheric space, for blowing a zonal airflow vertically downward from a position substantially even with or higher than a top end of a passageway of the door valve; and an air suctioning portion for suctioning the airflow or the inert gas from the air blowing portion by a vacuum force at a position substantially even with or lower than a bottom end of the passageway of the door valve.
    Type: Grant
    Filed: August 31, 2006
    Date of Patent: August 5, 2014
    Assignee: Tokyo Electron Limited
    Inventor: Kengo Ashizawa
  • Publication number: 20140199138
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Application
    Filed: March 17, 2014
    Publication date: July 17, 2014
    Applicant: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C. Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Patent number: 8777540
    Abstract: An apparatus for storing contamination-sensitive flat articles, in particular for storing semiconductor wafers, comprises a plurality of box-like compartments stationary arranged on a fixed mounting rack. The compartments are open on a front side and are arranged in rows and columns side by side and one above the other on the fixed mounting rack. The compartments each have a plurality of slotted holders for receiving the flat articles, and they surround a first handling unit configured for automatically inserting and removing the flat articles into and out of the slotted holders. A closed housing forms a clean room where both the plurality of compartments and the first handling unit are arranged.
    Type: Grant
    Filed: April 16, 2008
    Date of Patent: July 15, 2014
    Assignee: Dynamic Microsystems Semiconductor Equipment GmbH
    Inventors: Lutz Rebstock, Michael Meichsner
  • Patent number: 8777547
    Abstract: A substrate transporting robot apparatus is disclosed which is adapted to transport a substrate to and from a chamber of an electronic device processing system. The apparatus may include an upper arm rotatable in an X-Y plane, a forearm rotatable relative to the upper arm in the X-Y plane, and a wrist member rotatable relative to the forearm in the X-Y plane, the wrist member including an end effector adapted to carry a substrate. The wrist member may be subjected to independent rotation such that various degrees of yaw may be imparted to the wrist member. In some aspects, the independent rotation is provided without a motive power device (e.g., motor) being provided on the arms or wrist member, i.e., the wrist member may be remotely driven. Systems and methods using the robot apparatus are also provided as are numerous other aspects.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: July 15, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Publication number: 20140190513
    Abstract: Provided is a substrate treatment apparatus. The substrate treatment apparatus includes a load port on which a carrier accommodating a plurality of substrates to which a back-ground wafer is attached to a mounting tape fixed to a frame ring is placed, a plasma treatment unit supplying plasma to treat a top surface of the wafer, and a substrate transfer unit transferring the substrate between the carrier and the plasma treatment unit.
    Type: Application
    Filed: January 2, 2014
    Publication date: July 10, 2014
    Inventors: Jongjin Lee, Bum Joon Park, Tae Hoon Kim, Chang Weon Lee, Sunwoong Yim, Han Kyu Lee