Apparatus For Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/217)
  • Patent number: 9757790
    Abstract: A vacuum port assembly is provided for a press system including a die assembly and a transfer assembly. The transfer assembly includes a conveyor belt, a vacuum manifold coupled to the die assembly, and an airflow generator. The conveyor belt includes a plurality of holes for receiving and moving shells in a plane with respect to the die assembly. The vacuum port assembly includes at least one port member including inlet and outlet ends. The inlet end has amounting portion for removably coupling the port member to the vacuum manifold. The outlet end is in fluid communication with the airflow generator, thereby establishing fluid communication between the airflow generator and the vacuum manifold. The inlet end extends outwardly from the vacuum manifold generally perpendicular with respect to the plane of the conveyor belt.
    Type: Grant
    Filed: December 9, 2016
    Date of Patent: September 12, 2017
    Assignee: Stolle Machinery Company, LLC
    Inventors: Patrick K. McCarty, Aaron E. Carstens
  • Patent number: 9754813
    Abstract: A bonding chuck is discussed with methods of using the bonding chuck and tools including the bonding chuck. A method includes loading a first wafer on first surface of a first bonding chuck, loading a second wafer on a second bonding chuck, and bonding the first wafer to the second wafer. The first surface is defined at least in part by a first portion of a first spherical surface and a second portion of a second spherical surface. The first spherical surface has a first radius, and the second spherical surface has a second radius. The first radius is less than the second radius.
    Type: Grant
    Filed: November 2, 2016
    Date of Patent: September 5, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chih-Hui Huang, Yen-Chang Chu, Kuan-Liang Liu, Ping-Yin Liu, Cheng-Yuan Tsai, Yeur-Luen Tu, Chia-Shiung Tsai, Ru-Liang Lee
  • Patent number: 9748283
    Abstract: In a method of manufacturing a thin film transistor substrate, a first metal layer is formed on a first surface of a base substrate. The base substrate is cooled by contacting the first metal layer with a first cooling plate and by contacting a second surface of the base substrate with a second cooling plate. The first and second surfaces of the base substrate face opposite directions. A gate electrode is formed by patterning the first metal layer. A source electrode and a drain electrode are formed. The source electrode is spaced apart from the drain electrode. The source and drain electrodes partially overlap the gate electrode. A pixel electrode electrically connected to the drain electrode is formed.
    Type: Grant
    Filed: March 20, 2015
    Date of Patent: August 29, 2017
    Assignee: Samsung Display Co., Ltd.
    Inventors: Su-Kyoung Yang, Sang-Won Shin, Hyun-Eok Shin, Chan-Woo Yang, Dong-Min Lee
  • Patent number: 9695814
    Abstract: A method and apparatus for reducing undesirable noise generated by a vacuum pumping system. The vacuum system operates to reduce the pressure in a chamber to a first pressure value. The system then detects that the pressure at the vacuum pump system's inlet is about to change to a second higher pressure and, in response, the speed of a booster pump in the vacuum pump system is reduced below the rated speed for the pump. When the pressure at the vacuum pump's inlet is above the second pressure, the speed of the booster pump is increased to the rated speed. Thus, the booster pump is slowed down prior the pump system becoming exposed to a rapid increase in inlet pressure.
    Type: Grant
    Filed: May 31, 2012
    Date of Patent: July 4, 2017
    Assignee: Edwards Limited
    Inventors: Philip John Stephens, Michael Geoffrey Thompson
  • Patent number: 9696262
    Abstract: A substrate processing apparatus includes: a load port into which the transport container is carried; a detecting unit that detects storage condition of the substrates which are contained in the transport container, which has been carried into the load port and the lid of which has been removed; a processing unit that processes the substrates removed from the transport container having been carried into the load port; and a control unit.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: July 4, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Katsuhiro Morikawa, Ikuo Sunaka
  • Patent number: 9698036
    Abstract: A substrate cassette loading system for docking substrate cassettes to a substrate processing system is provided. A plurality of ports passes substrates into the substrate processing system, wherein a first port of the plurality of ports is vertically above a second port of the plurality of ports. A plurality of cassette loaders provides substrate cassettes to the plurality of ports.
    Type: Grant
    Filed: November 5, 2015
    Date of Patent: July 4, 2017
    Assignee: Lam Research Corporation
    Inventors: Silvia R. Aguilar, Scott Wong, Derek J. Witkowicki, Richard H. Gould, Candi Kristoffersen, Brandon Senn
  • Patent number: 9670010
    Abstract: A substrate processing apparatus having a station for loading and unloading substrates from the apparatus is provided. The station has a loading and unloading aperture, a magazine door drive for opening a substrate magazine by removing a door of a substrate magazine through the loading and unloading aperture, and a substrate magazine transport having a magazine support, the substrate magazine transport being configured to move the substrate magazine horizontally between a first position and a second position. When in the first position the substrate magazine is seated on the magazine support and communicates with the aperture and when moved to the second position the substrate magazine is offset from the first position, where the substrate magazine remains seated on the magazine support during horizontal transfer between the first and second positions and another substrate magazine is capable of being located at the first position in communication with the aperture.
    Type: Grant
    Filed: June 3, 2013
    Date of Patent: June 6, 2017
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, David R. Beaulieu, Peter F. Van der Meulen
  • Patent number: 9663854
    Abstract: A high throughput system for warming a wafer to a desired temperature after undergoing a low-temperature implantation process includes an implantation chamber, a wafer warming chamber configured to uniformly warm a single wafer, and a plurality of robotic arms to transfer wafers throughout the system. At each stage in the fabrication process, the robotic arms simultaneously work with multiple wafers and, therefore, the system provides a high throughput process. Also, the warming chamber may be a vacuum environment, thus eliminating the mist-condensation problem that results in wafer spotting.
    Type: Grant
    Filed: March 11, 2014
    Date of Patent: May 30, 2017
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Tsun-Jen Chan, Cheng-Hung Hu, Yi-Hann Chen, Kang Hua Chang, Ming-Te Chen
  • Patent number: 9637817
    Abstract: A process roller for receiving and guiding substrates in strip form in vacuum coating installations. The process roller comprises a heater located inside the process roller, in the form of an elongated radiant heater, and also a cylindrical lateral surface for receiving a substrate in strip form, the process roller being mounted rotatably about an axis of rotation in a vacuum process chamber. A particularly uniform temperature distribution can be achieved on the process roller's lateral surface by the process roller (2) being configured in a vacuum-tight manner, by the lateral surface (3) of the process roller (2) being connected in a vacuum-tight manner to two end caps (4, 5), which have a flattened, outwardly curved hemispherical form, by the interior space of the process roller (2) being connected to a vacuum connection (6), and by the radiant heater (8) extending into the region of the end caps (4, 5).
    Type: Grant
    Filed: February 11, 2013
    Date of Patent: May 2, 2017
    Assignee: FHR Anlagenbau GmbH
    Inventors: Lutz Köhler, Daniel Michel, Anthony Nobel, Marco Grafe
  • Patent number: 9633880
    Abstract: Disclosed is a substrate processing system with a magnetic conduit configuration to improve the movement of a substrate carrier within the system. The configuration specifically provides for safe, secure movement of a carrier between multiple levels of a substrate processing system by using magnetic conduits to redirect magnetic forces created by a linear motor, permitting the linear motor to be positioned outside of the system and in a location that will not interfere with the movement of the carrier.
    Type: Grant
    Filed: September 4, 2014
    Date of Patent: April 25, 2017
    Assignee: INTEVAC, INC.
    Inventor: Stuart Scollay
  • Patent number: 9633881
    Abstract: A buffer station for automatic material handling system can provide throughput improvement. Further, by storing to-be-accessed workpieces in the buffer stations of an equipment, the operation of the facility is not interrupted when the equipment is down. The buffer station can be incorporated in a stocker, such as bare wafer stocker.
    Type: Grant
    Filed: February 5, 2008
    Date of Patent: April 25, 2017
    Assignee: Brooks Automation, Inc.
    Inventor: Lutz Rebstock
  • Patent number: 9595462
    Abstract: Disclosed is a peeling system which includes a peeling device, a plurality of first cleaning devices, an inversion device, a second cleaning device, and first to third conveyance devices. The peeling device is configured to separate a superimposed substrate into a first substrate and a second substrate. The plurality of first cleaning devices is configured to clean a bonded surface of the first substrate. The inversion device configured to invert front and rear surfaces of the first substrate. The second cleaning device is configured to clean a non-bonded surface of the first substrate. Delivery positions of the first substrate in the plurality of first cleaning devices are arranged in a region where an operation range of the first conveyance device and an operation range of the second conveyance device overlap each other.
    Type: Grant
    Filed: November 11, 2014
    Date of Patent: March 14, 2017
    Assignee: Tokyo Electron Limited
    Inventors: Takeshi Tamura, Akira Fukutomi, Yasuharu Iwashita, Masaaki Umitsuki
  • Patent number: 9589795
    Abstract: In a method of forming an epitaxial layer, an etching gas may be decomposed to form decomposed etching gases. A source gas may be decomposed to form decomposed source gases. The decomposed source gases may be applied to a substrate to form the epitaxial layer on the substrate. A portion of the epitaxial layer on a specific region of the substrate may be etched using the decomposed etching gases. Before the etching gas is introduced into the reaction chamber, the etching gas may be previously decomposed. The decomposed etching gases may then be introduced into the reaction chamber to etch the epitaxial layer on the substrate. As a result, the epitaxial layer on the substrate may have a uniform distribution.
    Type: Grant
    Filed: January 12, 2016
    Date of Patent: March 7, 2017
    Assignee: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Sung-Ho Kang, Bong-Jin Kuh, Yong-Kyu Joo, Sung-Ho Heo, Hee-Seok Kim, Yong-Sung Park
  • Patent number: 9583352
    Abstract: A method of operating a wafer processing system includes etching a batch of wafers. The method also includes transferring at least a portion of the batch of wafers to a first front opening universal pod (FOUP). The method further includes purging an interior of the first FOUP with an inert gas. The method additionally includes transporting the first FOUP from a first loading port to a second loading port. The method also includes monitoring an elapsed time from the purging. The method further includes performing a second purging of the interior of the first FOUP if the elapsed time exceeds a threshold time. The method additionally includes cleaning the batch of wafers.
    Type: Grant
    Filed: August 18, 2015
    Date of Patent: February 28, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Wen-Chang Tsai, Shao-Yen Ku, Hsieh-Ching Wei, Yuan Chih Chiang, Jui-Chuan Chang, Yung-Li Tsai
  • Patent number: 9576825
    Abstract: Device and method for alignment of a first contact surface of a first substrate with a second contact surface of a second substrate which can be held on a second platform. The device includes first X-Y positions of first alignment keys located along the first contact surface, and second X-Y positions of second alignment keys which correspond to the first alignment keys and which are located along the second contact surface, wherein the first contact surface can be aligned based on the first X-Y positions in the first alignment position and the second contact surface can be aligned based on the second X-Y positions in the second alignment position.
    Type: Grant
    Filed: August 26, 2010
    Date of Patent: February 21, 2017
    Assignee: EV Group E. Thallner GmbH
    Inventor: Daniel Figura
  • Patent number: 9576824
    Abstract: In an apparatus for etching a semiconductor wafer or sample (101), the semiconductor wafer or sample is placed on a sample holder (104) disposed in a first chamber (103). The combination of the semiconductor wafer or sample and the sample holder is enclosed within a second chamber (130) inside the first chamber. Gas is evacuated from the second chamber and an etching gas is introduced into the second chamber, but not into the first chamber, to etch the semiconductor wafer or sample.
    Type: Grant
    Filed: February 22, 2006
    Date of Patent: February 21, 2017
    Assignee: SPTS Technologies Limited
    Inventors: Kyle S. Lebouitz, Edward F. Hinds
  • Patent number: 9566713
    Abstract: There is provided a carrier device that has a linkage arm mechanism, in particular, a carrier device that cools the linkage arm mechanism and can reduce the impact of radiation heat from a work that is in a high temperature state. A carrier device is a carrier device that includes a linkage arm mechanism and a pivot shaft, and the linkage arm mechanism includes lower arms and upper arms, and one ends of which are respectively connected to the lower arms, and horizontal movement members that support a work that is connected to the other ends of the upper arms, and cooling plates are respectively arranged between the upper arms, and the horizontal movement members.
    Type: Grant
    Filed: January 7, 2016
    Date of Patent: February 14, 2017
    Assignee: DAIHEN CORPORATION
    Inventors: Masaru Shimada, Sayako Takarabe, Hideki Matsuo
  • Patent number: 9558974
    Abstract: A semiconductor processing station is provided. The semiconductor processing station includes a first platform, a second platform and a vacuum tunnel, wherein the first platform has a first load lock and a first plurality of chambers, and the second platform has a second load lock and a second plurality of chambers, and the vacuum tunnel connects the first and the second load locks.
    Type: Grant
    Filed: September 27, 2012
    Date of Patent: January 31, 2017
    Assignee: TAIWAN SEMICONDUCTOR MANUFACTURING COMPANY, LTD.
    Inventors: Mao-Lin Kao, Hsu-Shui Liu, Tien-Chen Hu, Li-Jen Ko, Hsiang-Yin Shen, Jiun-Rong Pai
  • Patent number: 9550224
    Abstract: A vacuum port assembly is provided for a press system including a die assembly and a transfer assembly. The transfer assembly includes a conveyor belt, a vacuum manifold coupled to the die assembly, and an airflow generator. The conveyor belt includes a plurality of holes for receiving and moving shells in a plane with respect to the die assembly. The vacuum port assembly includes at least one port member including inlet and outlet ends. The inlet end has a mounting portion for removably coupling the port member to the vacuum manifold. The outlet end is in fluid communication with the airflow generator, thereby establishing fluid communication between the airflow generator and the vacuum manifold. The inlet end extends outwardly from the vacuum manifold generally perpendicular with respect to the plane of the conveyor belt.
    Type: Grant
    Filed: June 6, 2013
    Date of Patent: January 24, 2017
    Assignee: Stolle Machinery Company, LLC
    Inventors: Patrick K. McCarty, Aaron E. Carstens
  • Patent number: 9543179
    Abstract: A load port module includes a plate to support at least one substrate, a sensor to detect foreign matter at a predetermined location, and a cleaner to remove the foreign matter at the predetermined location when the foreign matter is detected by the sensor. The cleaner removes the foreign matter at the predetermined location in synchronism with movement of the plate. A mover to move the plate continues to operate after detection of the foreign matter by the sensor and removal of the foreign matter by the cleaner.
    Type: Grant
    Filed: February 28, 2014
    Date of Patent: January 10, 2017
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Chol-Min Jhon, Ho-Hyung Jung, Sung-Sick An, Yong-Chul Lee, Mi-Jung Jeon
  • Patent number: 9541920
    Abstract: In a method for positioning a transfer unit including, support pins for supporting object, and a pick having at a leading end thereof a detection unit for detecting presence or absence of the object, a height reference position of the pick is determined by detecting an upper edge of one of the support pins by the detection unit. A forward moving angle of the pick is corrected by obtaining a deviation angle between a radial direction of the mounting table passing through the corresponding support pin and a forward moving direction of the pick. A forward movement starting point of the pick is corrected by obtaining a horizontal deviation distance between the radial direction of the mounting table and the forward moving direction of the pick. A forward moving reference amount of the pick is obtained from coordinates of the corresponding support pin.
    Type: Grant
    Filed: October 1, 2013
    Date of Patent: January 10, 2017
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Masato Kubodera, Hirohito Kikushima, Hirofumi Yamaguchi, Yoshiaki Sasaki, Meng yiau Yu
  • Patent number: 9520312
    Abstract: Provided are approaches for transferring workpieces between multiple pressure environments. In one approach, a system for moving workpieces between a first pressure environment and a second pressure environment includes a first vacuum enclosure, a second vacuum enclosure, and an access port disposed between the first vacuum enclosure and the second vacuum enclosure. The system further includes a transfer carrier having a workpiece holder for retaining a workpiece, the transfer carrier disposed within the first vacuum enclosure and moveable between a first process position and a second process position, wherein in the first process position the workpiece is disposed within the first vacuum enclosure, and wherein in the second process position the workpiece holder abuts the access port to expose the workpiece to the second vacuum enclosure and to create a seal around the access port to seal the first vacuum enclosure from the second vacuum enclosure.
    Type: Grant
    Filed: December 19, 2014
    Date of Patent: December 13, 2016
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: James P. Buonodono
  • Patent number: 9514973
    Abstract: A lid-opening/closing device includes a device body, a lock-opening/closing mechanism, and a container-securing unit. A pod including a container body, a lid portion defining a bottom portion that is openable and closable with respect to the container body, and a locking mechanism performing unlocking and locking of the lid portion with respect to the container body is placed on the device body. The lock-opening/closing mechanism performs unlocking operation to cause the locking mechanism to perform the unlocking, and performs locking operation to cause the locking mechanism to perform the locking by moving an engaging portion configured to engage with the locking mechanism when the pod is placed on the device body. The container-securing unit secures the container body to the device body in conjunction with the lock-opening/closing mechanism when the locking mechanism is caused to perform the unlocking.
    Type: Grant
    Filed: April 17, 2013
    Date of Patent: December 6, 2016
    Assignee: Murata Machinery, Ltd.
    Inventor: Noriyasu Fukaya
  • Patent number: 9478446
    Abstract: A semiconductor processing tool is disclosed, the tool having a frame forming at least one chamber with an opening and having a sealing surface around a periphery of the opening, a door configured to interact with the sealing surface for sealing the opening, the door having sides perpendicular to the door sealing surface and perpendicular to a transfer plane of a substrate, and at least one drive located on the frame to a side of at least one of the sides that are substantially perpendicular to the door sealing surface and substantially perpendicular to the transfer plane of the substrate, the drive having actuators located at least partially in front of the sealing surface and the actuators being coupled to one of the sides of the door for moving the door from a sealed position. The at least one drive is located outside of a substrate transfer zone.
    Type: Grant
    Filed: March 3, 2014
    Date of Patent: October 25, 2016
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Martin R. Elliot, Alexander Krupyshev, Joseph Hallisey, Joseph A. Kraus, William Fosnight, Craig J. Carbone, Jeffrey C. Blahnik, Ho Yin Owen Fong
  • Patent number: 9472432
    Abstract: Methods, systems and apparatuses for high throughput substrate transfer are provided. According to various embodiments, the methods and systems described use robots having dedicated end effectors for hot and cold wafers or other substrates). Throughput is increased by optimizing the transfer of both the hot and the cold wafers. Also described are wafer transfer apparatuses having end effectors configured for supporting either hot or cold wafers. In certain embodiments, dual arm robots having dedicated hot and cold wafer arms are provided. Also provided are methods of transferring substrates that to improve overall throughput. The methods involve transferring hot and cold substrates at different accelerations.
    Type: Grant
    Filed: April 3, 2013
    Date of Patent: October 18, 2016
    Assignee: Novellus Systems, Inc.
    Inventor: Richard M. Blank
  • Patent number: 9457464
    Abstract: Robot apparatus, substrate transport systems, and methods are described. The robot apparatus and systems are adapted to efficiently put or pick substrates at a destination by rotating a boom linkage to a position adjacent to the destination and then actuating robot assemblies to put or pick the substrates at the destination. Numerous other aspects are provided.
    Type: Grant
    Filed: June 6, 2014
    Date of Patent: October 4, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Patent number: 9457442
    Abstract: The present invention provides various aspects of support for a processing tool in a fabrication facility capable of routine placement and replacement of processing tools and component assemblies of the tools. Support aspects include a support structure for component assemblies and a quick disconnect flange which facilitates connecting and disconnect of electrical, liquid and gas utilities to a tool component placed in the processing tool.
    Type: Grant
    Filed: January 21, 2010
    Date of Patent: October 4, 2016
    Assignee: Futrfab, Inc.
    Inventor: Frederick A. Flitsch
  • Patent number: 9449861
    Abstract: An object of the present invention is to provide a technique capable of reducing a volume occupied exclusively by a substrate processing apparatus. In order to achieve this object, a substrate processing apparatus includes: multiple processing parts that process a substrate W; a transport robot that makes rectilinear motion along one rectilinear axis or each of more rectilinear axes and rotative motion about a vertical axis to transport a substrate to each of the processing parts; a transport chamber defined as operating space for the transport robot; and a transport controller that controls operation of the transport robot. A first partial area defined in the transport chamber has a width (specifically, a width extending along a horizontal axis perpendicular to the one rectilinear axis or the more rectilinear axes) is smaller than a rotative diameter of the transport robot. The transport controller prohibits the rotative motion of the transport robot in the first partial area.
    Type: Grant
    Filed: June 18, 2013
    Date of Patent: September 20, 2016
    Assignee: SCREEN Holdings Co., Ltd.
    Inventors: Akito Hatano, Toyohide Hayashi, Koji Hashimoto
  • Patent number: 9443749
    Abstract: In this vacuum processing apparatus, four process modules and four load-rock modules are arranged in clusters around a two-stage conveyance vacuum chamber. In the apparatus, the entirety of the second stage of the vacuum conveyance chamber is a third vacuum conveyance area and the third vacuum conveyance area extends from the second stage to the first stage through an aperture to enter between first and second vacuum conveyance areas. A third vacuum conveyance robot has: left and right-side conveyance units, which can move straight in the depth direction in the second stage of the third vacuum conveyance area; and left and right-side conveyance units, which can move straight in the vertical direction, i.e. can move up and down, in the aperture of the vacuum conveyance area.
    Type: Grant
    Filed: January 18, 2012
    Date of Patent: September 13, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Shinji Wakabayashi, Sensho Kobayashi
  • Patent number: 9437465
    Abstract: When a step is delayed, an operator can be rapidly informed of the delay. A substrate processing apparatus comprises a process system configured to process a substrate; a control unit configured to control the process system for performing a plurality of steps; and a manipulation unit configured to monitor a progress of each of the plurality of steps, wherein when a time elapsed after the control unit goes into a hold state exceeds an allowable time previously allocated to the one of the plurality of steps while waiting for a completion of the one of the plurality of steps started by the process system, the control unit transmits an alarm message to the manipulation unit so as to inform the manipulation unit that the allowable time is exceeded, terminates the hold state, and performs a recovery action.
    Type: Grant
    Filed: December 4, 2009
    Date of Patent: September 6, 2016
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Satoru Takahata, Yukio Ozaki, Reizo Nunozawa
  • Patent number: 9437469
    Abstract: A substrate transport apparatus for a processing tool. The apparatus has a drive section, a movable arm, and an end effector. The arm is operably connected to the drive section. The end effector is connected to the movable arm for holding and transporting the substrate in the processing tool. The apparatus has a substrate inertial capture edge grip connected to the end effector and arranged so that the grip effects capture and centering of the substrate onto the end effector from substrate inertia.
    Type: Grant
    Filed: April 27, 2007
    Date of Patent: September 6, 2016
    Assignee: Brooks Automation, Inc.
    Inventors: Anthony V. DiBella, Dennis Poole, William Fosnight
  • Patent number: 9425076
    Abstract: Embodiments of apparatus for supporting a substrate are disclosed herein. In some embodiments, an apparatus for supporting a substrate includes a support member; and a plurality of substrate contact elements protruding from the support member, wherein each of the plurality of substrate contact elements includes: a first contact surface to support a substrate when placed thereon; and a second contact surface extending from the first contact surface, wherein the second contact surface is adjacent a periphery of the substrate to prevent radial movement of the substrate, wherein the first contact surface is at a first angle with respect to the support member and the second contact surface is at a second angle with respect to the support member, and wherein the first angle is between about 3 degrees and 5 degrees.
    Type: Grant
    Filed: September 3, 2014
    Date of Patent: August 23, 2016
    Assignee: APPLIED MATERIALS, INC.
    Inventors: Pulkit Agarwal, Daniel Greenberg, Song-Moon Suh, Jeffrey Brodine, Steven V. Sansoni, Glen Mori
  • Patent number: 9409283
    Abstract: The present disclosure provides an ancillary fixture for assembling a touch display and the method for using the same. The ancillary fixture comprises a first carrying member, a second carrying member, a support structure, a first positioning mechanism, and a second positioning mechanism. The first carrying member and the second carrying member are pivoted at the support structure. The first carrying member has a first carrying surface. The second carrying member has a second carrying surface opposing to the first carrying surface. The first carrying surface and the second carrying surface are used for carrying at least one component of the touch display, respectively. The first positioning mechanism and the second positioning mechanism are used for adjusting the inclination angle of the first carrying member and the second carrying member with respect to the support structure, respectively, to finish assembling the touch display.
    Type: Grant
    Filed: April 17, 2014
    Date of Patent: August 9, 2016
    Assignee: Wistron Corporation
    Inventor: Yun-Jun Wang
  • Patent number: 9406539
    Abstract: In the substrate holder, while holding a periphery portion of a semiconductor wafer, some of protruding portions having a grass shape on a pad main body hide beneath the semiconductor wafer, and the others of the protruding portions are exposed outside the semiconductor wafer. Also, the protruding portions hiding beneath the semiconductor wafer contact a rear surface of the semiconductor wafer, and sink the semiconductor wafer to a suitable depth via gravity, thereby holding the semiconductor wafer mainly in a length direction. In addition, some of protruding portions exposed near the periphery portion of the semiconductor wafer contact a side surface of the semiconductor wafer, thereby holding the semiconductor wafer mainly in a width direction.
    Type: Grant
    Filed: November 27, 2013
    Date of Patent: August 2, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Patent number: 9405194
    Abstract: Provided is a method of a substrate treatment. The method includes providing an operation module with substrates contained in a lot and performing an operation treatment thereon and performing a test treatment on the substrates completed with the operation treatment in a test module. The performing of the test treatment includes determining a substrate to be tested, which is provided to the test module, to allow the test treatment to be completed within an operation treatment time for the substrates in a unit lot.
    Type: Grant
    Filed: November 27, 2013
    Date of Patent: August 2, 2016
    Assignee: Semes Co., Ltd.
    Inventors: Soo Min Hwang, Dong Ho Kim, Won Jin Kim, Ho Shin Kang
  • Patent number: 9401294
    Abstract: A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: July 26, 2016
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Alexander Krupyshev, Ulysses Gilchrist
  • Patent number: 9355878
    Abstract: Disclosed is a substrate processing apparatus including first and second chambers stacked one above the other; a first opening that is provided in a wall of the first chamber that faces the second chamber, and that allows a substrate to pass through the first opening; a second opening that is provided in a wall of the second chamber that is in communication with the first opening and that allows the substrate to pass through the second opening; an opening and closing member that is provided inside the first chamber so as to move up and down and that opens and closes the first opening; a substrate mounting member that is provided closer to the second chamber than the opening and closing member, and that moves the substrate between the first and second chambers; and a substrate processing member provided in the second chamber.
    Type: Grant
    Filed: October 11, 2013
    Date of Patent: May 31, 2016
    Assignee: TDK Corporation
    Inventors: Einstein Noel Abarra, Yukihito Tashiro
  • Patent number: 9349627
    Abstract: Adjacent to an opening portion in an FISM system is provided an enclosure that encloses the operation space of a door and has a second opening portion opposed to the opening portion. A curtain nozzle is provided above the upper edge of the opening portion in the upper portion in the enclosure. A purge gas is supplied from the curtain nozzle along a direction from the upper edge to the lower edge of the opening portion. In addition, a gas outlet through which the purge gas flows from the interior of the enclosure out into the exterior is provided on the wall of the enclosure to which the purge gas flowing in the above described direction is directed, whereby an increase in the partial pressure of oxidizing gases in the interior of the FOUP is prevented.
    Type: Grant
    Filed: July 27, 2010
    Date of Patent: May 24, 2016
    Assignee: TDK CORPORATION
    Inventors: Tsutomu Okabe, Jun Emoto
  • Patent number: 9334127
    Abstract: An electronic device processing system is disclosed. The system includes a transfer chamber including facets and a plurality of single-entry process chambers coupled to the facets, wherein at least some process chambers are non-focalized process chambers, at least one load lock chamber, and a robot apparatus operable to transport substrates between the process chambers and the load lock chamber(s). Robot apparatus includes an upper arm, a forearm, and a wrist member adapted for independent rotation relative to the forearm about a wrist axis, and an end effector adapted to carry a substrate. Various degrees of yaw may be imparted to the wrist member in order to service the non-focalized process chambers. Systems and methods are also provided as are other aspects.
    Type: Grant
    Filed: June 11, 2014
    Date of Patent: May 10, 2016
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Patent number: 9327399
    Abstract: A prediction model for predicting a mobile robot state is constructed to represent a state change of the mobile robot in a prediction interval of a predetermined duration; time-series data on a control input to ensure stability of the mobile robot is obtained in the prediction interval using a predetermined stability evaluation criterion and a constraint condition based on a mechanical balance; a center-of-gravity trajectory of the mobile robot is obtained based on the time-series data and prediction model; a slack variable for relaxing a constraint is introduced into a constraint condition; a slack variable is introduced into the stability evaluation criterion such that the variable becomes zero when a solution to a stable trajectory is obtained under the constraint condition and a slack variable value is generated when the trajectory diverges under the constraint condition; and positions of contact points are changed so as to cancel the generated value.
    Type: Grant
    Filed: February 10, 2015
    Date of Patent: May 3, 2016
    Assignee: TOYOTA JIDOSHA KABUSHIKI KAISHA
    Inventor: Masahiro Doi
  • Patent number: 9324599
    Abstract: The present invention provides a container opening/closing device for opening and closing a lid of a container. The container comprises a container body including an opening and the lid detachably attached to the opening. The device comprises an opening/closing mechanism including a holding portion for holding the lid and a pressing mechanism. The opening/closing mechanism opens and closes the opening by moving the holding portion between a closing position and an open position. The pressing mechanism presses a peripheral edge of the holding portion toward the container body when the opening/closing mechanism moves the lid from the open position to the closing position.
    Type: Grant
    Filed: December 30, 2013
    Date of Patent: April 26, 2016
    Assignee: HIRATA CORPORATION
    Inventors: Noriyoshi Toyoda, Hirofumi Nakamura
  • Patent number: 9314895
    Abstract: A grinding apparatus for grinding a wafer stored in a cassette composed of a container for storing the wafer and a lid for enclosing the container. The grinding apparatus includes a cassette table for placing the cassette thereon, a lid removing unit for removing the lid from the cassette placed on the cassette table and leaving only the container on the cassette table, a chuck table for holding the wafer under suction, a chuck table moving unit for moving the chuck table to a grinding area, a grinding unit provided in the grinding area, the grinding unit having a grinding wheel for grinding the wafer held on the chuck table, a grinding water supplying unit for supplying a grinding water to abrasive members of the grinding wheel, and a waste water collecting unit for collecting waste water generated in grinding the wafer by operating the grinding unit.
    Type: Grant
    Filed: December 4, 2014
    Date of Patent: April 19, 2016
    Assignee: Disco Corporation
    Inventors: Takashi Mori, Shinichi Namioka, Masaaki Nagashima, Hidetaka Ochi, Naoto Takata, Masaaki Suzuki, Hirotoshi Hoshikawa, Osamu Miura, Noriko Ito
  • Patent number: 9312155
    Abstract: A wafer-processing apparatus includes: eight or ten reactors with identical capacity for processing wafers on the same plane, constituting four or five discrete units, each unit having two reactors arranged side by side with their fronts aligned in a line; a wafer-handling chamber including two wafer-handling robot arms each having at least two end-effectors; a load lock chamber; and a sequencer for performing, using the two wafer-handling robot arms, steps of unloading/loading processed/unprocessed wafers from/to any one of the units, and steps of unloading/loading processed/unprocessed wafers from/to all the other respective units in sequence while the wafers are in the one of the units.
    Type: Grant
    Filed: June 6, 2011
    Date of Patent: April 12, 2016
    Assignee: ASM Japan K.K.
    Inventors: Yukihiro Mori, Takayuki Yamagishi
  • Patent number: 9287152
    Abstract: A method for auto-sequencing of plasma processing system for concurrent processing of several substrates. The method autonomously sequence processing and move substrates in different directions as necessary. The method moves two substrate trays together into the processing chamber for substrate exchange, and remove the trays from the chamber one at a time. When needed, the method moves one tray into the processing chamber for removal of the susceptor without exposing the chamber to atmospheric environment.
    Type: Grant
    Filed: May 20, 2013
    Date of Patent: March 15, 2016
    Assignee: ORBOTECH LT SOLAR, LLC.
    Inventors: Wendell Thomas Blonigan, Masato Toshima, Kam S. Law, David Eric Berkstresser, Steve Kleinke, Craig Lyle Stevens
  • Patent number: 9287150
    Abstract: A fabrication system comprises a global system comprising a plurality of stockers and a global transportation system connected to the stockers, a local system coupled to the global system through the global transportation system, wherein the local system comprises a first buffer located at a boundary between the global system and the local system, a plurality of lithography apparatuses coupled to the first buffer through a local transportation system and an empty pod buffer.
    Type: Grant
    Filed: October 9, 2012
    Date of Patent: March 15, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventor: Ching-Jung Chang
  • Patent number: 9281221
    Abstract: One or more techniques or systems for ultra-high vacuum (UHV) wafer processing are provided herein. In some embodiments, a vacuum system includes one or more cluster tools connected via one or more bridges. For example, a first cluster tool is connected to a first bridge. Additionally, a second cluster tool is connected to a second bridge. In some embodiments, the first bridge is configured to connect the second cluster tool to the first cluster tool. In some embodiments, the second cluster tool is connected to the first bridge, thus forming a ‘tunnel’. In some embodiments, the second bridge comprises one or more facets configured to enable a connection to an additional process chamber or an additional cluster tool. In this manner, a more efficient UHV environment is provided, thus enhancing a yield associated with wafer processing, for example.
    Type: Grant
    Filed: November 16, 2012
    Date of Patent: March 8, 2016
    Assignee: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chung-En Kao, Tien-Chen Hu, Mao-Lin Kao, Kuo-Fu Chien, Keith Koai
  • Patent number: 9263310
    Abstract: A substrate treating apparatus is provided. The substrate treating apparatus includes a loading/unloading unit, a process unit in which a substrate treating process is performed, a loadlock unit disposed between the loading/unloading unit and the process unit, and a carrying member transferring a substrate between the process unit and the loadlock unit. Herein, the carrying member is provided in the process unit and the loadlock unit, and the loading/unloading unit, the loadlock unit, and the process unit are sequentially disposed.
    Type: Grant
    Filed: June 21, 2011
    Date of Patent: February 16, 2016
    Assignee: SEMES CO., LTD.
    Inventors: Sungho Kim, Choonsik Kim, Yongtaek Eom, Hyuntaek Oh, Hyungkeun Park
  • Patent number: 9252035
    Abstract: A substrate transfer system includes a substrate transfer robot disposed in a robot installment area defined between a first apparatus and a second apparatus. The first apparatus includes cassettes. The cassettes are each configured to accommodate at least one substrate. The second apparatus includes a second wall opposite to a first wall. The substrate transfer robot transfers the at least one substrate from each of the cassettes to the second apparatus. The substrate transfer robot includes a base stationary, a hand, and arms. A first arm includes a first end and a second end. The first end is rotatably coupled to the base. A second arm includes a third end and a fourth end. The third end is rotatably coupled to the second end. The second end of the first arm moves beyond the second wall when the substrate transfer robot takes out the substrate from the first apparatus.
    Type: Grant
    Filed: July 27, 2015
    Date of Patent: February 2, 2016
    Assignee: KABUSHIKI KAISHA YASKAWA DENKI
    Inventors: Masatoshi Furuichi, Yoshiki Kimura
  • Patent number: 9252037
    Abstract: A vacuum treatment apparatus and method for manufacturing has a plurality of treatment chambers for treating workpieces, in particular silicon wafers, a transfer chamber attached to the treatment chambers communicating via respective openings and having handling zones located adjacent to each of the treatment chambers. A workpiece carrier is arranged within the transfer chamber and configured to transfer the workpieces between the handling zones, and one or more handlers for moving the workpieces between the handling zones and the treatment chambers. The transfer chamber is ring-shaped about an axis and the openings have opening substantially parallel thereto. This way, forces on the transfer chamber are redirected to a large support structure and thus, a cost-effective, light and still rigid mechanical construction can be achieved.
    Type: Grant
    Filed: December 27, 2011
    Date of Patent: February 2, 2016
    Assignee: Oerlikon Advanced Technologies AG
    Inventors: Bart Scholte Von Mast, Wolfgang Rietzler, Rogier Lodder, Rolf Bazlen, Daniel Rohrer
  • Patent number: 9245785
    Abstract: The present invention provides an in-line type multi-chamber substrate processing apparatus which, with a simple configuration, can decrease influence of particles due to film peeling and enables installation of a number of processing chambers. In one embodiment of the present invention, a jointless arm of a transfer robot that has a substrate holding part 4a and performs rotational movement while maintaining a predetermined length of the arm is disposed inside a first process chamber capable of being evacuated. The first process chamber is configured to be able to transfer substrates from an adjacent second process chamber through an opening by the arm of the transfer robot. A holder as an arm retreating position and a substrate mounting position is positioned so as to overlap with a trajectory of the substrate holding part when the arm of the transfer robot rotates about a rotation axis.
    Type: Grant
    Filed: January 6, 2012
    Date of Patent: January 26, 2016
    Assignee: Canon Anelva Corporation
    Inventors: Yukihito Tashiro, Toshikazu Nakazawa