Apparatus For Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/217)
  • Patent number: 9230843
    Abstract: A loading unit which elevates a substrate holder holding a plurality of substrates to be subjected to heat treatment with respect to a cylindrical processing container whose bottom portion is opened and closed by a cap, includes, a loading housing, an elevator mechanism elevating the substrate holder, a shutter closing an opening of the bottom of the processing container, a substrate moving and loading mechanism having an elevatable moving and loading arm, a first partitioning box installed to surround the elevator mechanism and a moving space of the elevated substrate holder and provided with a cooling gas injecting means, a second partitioning box connected to the first partitioning box and is installed to surround the substrate moving and loading mechanism and a moving space of the substrate moving and loading mechanism, and a third partitioning box connected to the first partitioning box and is installed to surround the shutter.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: January 5, 2016
    Assignee: TOKYO ELECTRON LIMITED
    Inventor: Katsuya Toba
  • Patent number: 9214370
    Abstract: A substrate transfer device that transfers a substrate by allowing a substrate opening formed on a front surface of a substrate transfer vessel to face an opening formed on a partition wall from one side of the partition wall and separating a cover body of the substrate transfer vessel from the other side of the partition wall includes a door configured to open and close the opening from the other side of the partition wall; a reciprocating unit configured to straightly move the door back and forth between a first position where the opening is closed and a second position away from the first position toward the other side of the partition wall; and a rotating unit configured to rotate the door around a rotation axis in a straightly moving direction of the door between the second position and a third position deviated from a region facing the opening.
    Type: Grant
    Filed: April 10, 2013
    Date of Patent: December 15, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naruaki Iida, Akihiro Teramoto
  • Patent number: 9209056
    Abstract: An overhead buffer device used for disposing in a semi-conductor factory includes a strut module and a plurality of buffer modules. The strut module disposed on the top wall of the factory has a horizontal beam and a plurality of overhead strut. The overhead strut is set on the horizontal beam and spaced arranged along the horizontal beam. The buffer modules are installed on the overhead strut respectively. Each buffer module has a plurality of buffers arranged in sequence and along a vertical direction. Each buffer is used for receiving one front opening unified pod (FOUP). Thus, the instant disclosure can be used for using the space of the factory efficiently. Besides, the instant disclosure also provides a wafer transport system having the overhead buffer device.
    Type: Grant
    Filed: January 16, 2013
    Date of Patent: December 8, 2015
    Assignee: Inotera Memories, Inc.
    Inventors: Hang-Hao Feng, Sheng-Jung Chang
  • Patent number: 9193531
    Abstract: A component transfer device according to the present invention includes a holding mechanism that positions and holds a component on a carrying surface located at a predetermined height and a pull-out unit that pulls out the component held on the carrying surface by the holding mechanism in a horizontal direction. The pull-out unit includes a grasping member that can separably grasp the component from a vertical direction, a cam member that causes the grasping member to perform a component grasping operation and a component releasing operation at predetermined timings by exercising a cam function to the grasping member, a driving mechanism that drives the cam member and the grasping member, and a restraint mechanism that restrains a relative movement of the cam member with respect to the movable holder upon grasping operation.
    Type: Grant
    Filed: December 5, 2013
    Date of Patent: November 24, 2015
    Assignee: HIRATA CORPORATION
    Inventors: Yoichi Hirasawa, Katsuyoshi Tachibana, Soichi Tateno, Toshihito Seki
  • Patent number: 9190304
    Abstract: A workpiece container storage and handling system includes a base, a number of wheels connected to the base, and a container handling system connected to the base. The wheels provide for movement of the base. The container handling system is defined to hold at least two containers in a vertically overlying orientation relative to each other. The container handling system is defined to provide for controlled vertical travel of the at least two containers in unison relative to the base. Also, the container handling system is defined to provide for controlled and independent horizontal travel of each of the at least two containers relative to the base.
    Type: Grant
    Filed: May 18, 2012
    Date of Patent: November 17, 2015
    Assignee: Brooks Automation, Inc.
    Inventors: Robert B. MacKnight, Anthony C. Bonora
  • Patent number: 9180445
    Abstract: Disclosed is a vacuum processing apparatus having a means for preventing counter-pressure between adjacent chambers, which comprises a process chamber, a transfer chamber, and a load lock chamber, comprising: a cassette chamber installed between the chambers, having slits formed at both opposite side surfaces to serve as a passage of a substrate; a vent detection part configured to detect a vent process in which a vent gas is injected into each chamber; a cassette chamber constant pressure inducing part comprising first and second bypass lines configured to connect each chamber and the cassette chamber and first and second intermittent valves respectively installed at the first and second bypass lines to control a gas flow through each bypass line; and a control part configured to determine whether the vent process or a vacuum process is performed in each chamber through a signal detected from the vent detection part.
    Type: Grant
    Filed: October 5, 2012
    Date of Patent: November 10, 2015
    Assignee: SUNRIN CO., LTD.
    Inventor: Kwang Wook Lim
  • Patent number: 9184073
    Abstract: A substrate processing apparatus is disclosed. The substrate processing apparatus includes: a first process unit including a plurality of first process stations configured to perform a first process in a first atmosphere; a second process unit including a plurality of second process stations configured to perform a second process in a second atmosphere different from the first atmosphere; and a transformation unit between the first process unit and the second process unit. The first process unit, the transformation unit, and the second process unit are arranged in a line. The transformation unit includes a plurality of transformation stations configured to transform an atmosphere between the first atmosphere and the second atmosphere. Thus, the efficiency of processing a substrate can be improved, and the area or length in which the substrate processing apparatus is installed can be reduced.
    Type: Grant
    Filed: March 11, 2013
    Date of Patent: November 10, 2015
    Assignee: Samsung Display Co., Ltd.
    Inventor: Martin Philip Rosenblum
  • Patent number: 9169561
    Abstract: There is disclosed a plasma enhanced chemical vapor deposition apparatus including a loading station to load an object on a pallet, an operation station to form a functional film by performing plasma reaction to the object loaded on the pallet, a unloading station to separate the object from the pallet, a circulation station to convey the pallet from the unloading station to the loading station, and a conveyer to convey the pallet to the stations sequentially to circulate the pallet.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: October 27, 2015
    Assignee: LG ELECTRONICS INC.
    Inventors: Junggeun Oh, Kwangho Lee, Jangwoo Lee, Jeonggyu Kim, Jinhyouk Shin
  • Patent number: 9165758
    Abstract: A peeling system includes: a carry-in/carry-out station that loads/unloads substrates to be processed, support substrates, or stacked substrates in which these are made to adhere; a peeling process station that carries out prescribed processing on substrates to be processed, support substrates and stacked substrates; and a transport station provided between the carry-in/carry-out station and the peeling process station. The peeling process station has a peeling device that peels the stacked substrates, a first washing apparatus that washes peeled substrates to be processed, and a second washing apparatus that washes the peeled support substrates. The pressure inside the transport station is a positive pressure in relation to the pressure inside the peeling device, the pressure inside the first washing apparatus, and the pressure inside the second washing apparatus.
    Type: Grant
    Filed: December 26, 2011
    Date of Patent: October 20, 2015
    Assignee: TOKYO ELECTRON LIMITED
    Inventors: Naoto Yoshitaka, Yasuharu Iwashita, Masataka Matsunaga
  • Patent number: 9147591
    Abstract: A substrate processing apparatus includes a plurality of vacuum transfer modules including transfer mechanisms for transferring a substrate between a plurality of process modules arranged near the vacuum transfer modules that are configured to process the substrate under a reduced-pressure atmosphere; one or more load lock modules provided at each of the vacuum transfer modules; a first atmosphere transfer mechanism that transfers the substrate that is fed from outside to one load lock module; and a second atmosphere transfer mechanism that receives the substrate from the first atmosphere transfer mechanism and transfers the received substrate to another load lock module. The second atmosphere transfer mechanism is arranged above or below the vacuum transfer module that is provided with the one load lock module, and the vacuum transfer modules are arranged in series along a substrate transfer direction of the second atmosphere transfer mechanism.
    Type: Grant
    Filed: March 23, 2012
    Date of Patent: September 29, 2015
    Assignee: Tokyo Electron Limited
    Inventor: Sensho Kobayashi
  • Patent number: 9111980
    Abstract: Apparatus for the removal of exhaust gases are provided herein. In some embodiments, an apparatus may include a carrier for supporting one or more substrates in a substrate processing tool, the carrier having a first exhaust outlet, and an exhaust assembly including a first inlet disposed proximate the carrier to receive process exhaust from the first exhaust outlet of the carrier, a second inlet to receive a cleaning gas, and an outlet to remove the process exhaust and the cleaning gas.
    Type: Grant
    Filed: December 20, 2012
    Date of Patent: August 18, 2015
    Assignee: APPLIED MATERIALS, INC.
    Inventors: David K. Carlson, Michael R. Rice, Kartik B. Shah, Kashif Maqsood, Pravin K. Narwankar
  • Patent number: 9111977
    Abstract: A work piece transfer mechanism for use in a chamber has at least one port through which a work piece may be passed along a linear work piece transfer path between a retracted location inside the chamber and an extended location outside the chamber. The chamber has a predetermined internal dimension of given axial extent in the direction of the transfer path, and the transfer mechanism includes a work piece support movable with a linear stroke. The work piece support is driven along the linear stroke by a drive lever pivotally attached to the work piece support by a pivot, and the drive lever is drivable such that the pivot is driven along a linear path to move the work piece support along the linear work piece transfer path. The linear work piece transfer path includes a portion beyond the port of axial extent greater than predetermined internal dimension.
    Type: Grant
    Filed: December 19, 2011
    Date of Patent: August 18, 2015
    Assignee: UHV DESIGN LIMITED
    Inventor: Peter Coxon
  • Patent number: 9105673
    Abstract: A substrate processing system including a processing section arranged to hold a processing atmosphere therein, a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to a predetermined vacuum pressure that is different than an exterior atmosphere outside the substrate processing system, and a load port communicably connected to the processing section to isolate the processing atmosphere from the exterior atmosphere, the load port being configured to couple with the carrier to pump down the internal volume of the carrier and to communicably connect the carrier to the processing section, for loading the substrate into the processing section through the load port.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: August 11, 2015
    Assignee: Brooks Automation, Inc.
    Inventors: Daniel Babbs, William Fosnight, Robert C. May, William Weaver
  • Patent number: 9086173
    Abstract: A vacuum valve includes a valve housing with first and second valve openings, which have parallel axes, a first and a second valve plate, adjustable between an open position, an intermediate position and a closed position, and a carrying unit, which carries the valve plates between the intermediate position, in which the valve plates cover over the respective valve openings, but are raised up from the valve seat, and the closed position, and each include a cylinder, having at least one cylinder space, and at least one piston, arranged in the cylinder space and has a piston rod, connected to one of the valve plates. The carrying unit has at least first and second carrier rods, to which the cylinders of the drive elements are connected. The cylinders each span an interspace located between the carrier rods, arranged on opposite sides laterally alongside the piston rods of the drive elements.
    Type: Grant
    Filed: July 15, 2013
    Date of Patent: July 21, 2015
    Assignee: VAT Holding AG
    Inventor: Florian Ehrne
  • Patent number: 9073691
    Abstract: An article transport facility includes a first transport conveyer for transporting an article between a first transfer position outside the article storage and a position inside the article storage, and a second transport conveyer for transporting an article between a second transfer position outside the article storage and a position inside the article storage. An article transport vehicle is configured to be capable of transferring an article to or from one of the first transfer position and the second transfer position by vertically moving a grip portion with the article transport vehicle stopped at a transfer stop position in a path defined by a travel rail and is configured to be capable of transferring an article to or from the other of the first transfer position and the second transfer position by slidingly moving the grip portion with the article transport vehicle stopped at the transfer stop position.
    Type: Grant
    Filed: August 7, 2012
    Date of Patent: July 7, 2015
    Assignee: Daifuku Co., Ltd.
    Inventors: Yuichi Morimoto, Takeshi Chuma
  • Patent number: 9068266
    Abstract: A substrate processing apparatus includes an enclosure defining a reaction chamber, a substrate holder in the reaction chamber, and a door assembly. The door assembly has a substrate entrance with a tunnel extending to the reaction chamber, a door movable with respect to the substrate entrance, and a pattern of features. The features are located along a portion of the substrate entrance defining the tunnel. The features promote sticking of processing byproducts, produced in the reaction chamber, to the substrate entrance. A door mates with the entrance to form a seal that reduces flow through the tunnel to control the amount of byproducts that enter the tunnel.
    Type: Grant
    Filed: October 12, 2009
    Date of Patent: June 30, 2015
    Assignee: STMicroelectronics, Inc.
    Inventor: Justin Broeker
  • Patent number: 9068261
    Abstract: An atomic layer deposition apparatus, which forms a thin film on a substrate, includes a first container that defines a first inner space and includes a substrate carrying-in and carrying-out port and a gas introduction port in different positions, the substrate being carried in and out through the substrate carrying-in and carrying-out port, gas being introduced through the gas introduction port to form the thin film on the substrate, a second container that is provided in the first container to define a second inner space separated from the first inner space, the second container including a first opening, a first moving mechanism that moves the second container in a predetermined direction, and a controller that controls the first moving mechanism such that the second container is moved to a first position where the substrate carrying-in and carrying-out port and the first opening are located opposite each other when the substrate is carried in and out, the controller controlling the first moving mechanism
    Type: Grant
    Filed: March 3, 2010
    Date of Patent: June 30, 2015
    Assignee: MITSUI ENGINEERING & SHIPBUILDING CO., LTD.
    Inventors: Kazutoshi Murata, Yasunari Mori
  • Patent number: 9069350
    Abstract: A processing apparatus includes a unit processing an object, a first conveyer to perform conveyance of the object between the unit and a station arranged between an external apparatus and the unit, the external apparatus including a second conveyer to hold the object with a hand thereof to perform conveyance of the object to or from the station, and a controller to output a request signal and a stop instruction signal. The request signal requests the external apparatus to perform the conveyance by the second conveyer, and is output before the processing apparatus becomes a state to allow the second conveyer to perform the conveyance, and the stop instruction signal instructs the external apparatus to stop the hand and is output based on a state of the processing apparatus after an output of the request signal and before the conveyance of the second conveyer.
    Type: Grant
    Filed: December 17, 2007
    Date of Patent: June 30, 2015
    Assignee: CANON KABUSHIKI KAISHA
    Inventor: Shinichi Hirano
  • Patent number: 9048271
    Abstract: Disclosed is a modular semiconductor substrate processing system (1), including a plurality of independently operable substrate processing units (100). Each unit (100) comprises a reactor module (104) and a substrate transfer module (102). Within the system (1), the substrate transfer modules (102) of the different units (100) are serially interconnected such that substrates (116) may be exchanged between them. Exchange of substrates (116) between neighboring processing units (100) is facilitated by a shared substrate hand-off station (130) that is associated with each pair of neighboring processing units. The actual transfer of substrates is performed by a substrate handling robot (122), which may preferably be of the SCARA-type.
    Type: Grant
    Filed: September 29, 2011
    Date of Patent: June 2, 2015
    Assignee: ASM INTERNATIONAL N.V.
    Inventor: Theodorus G.M. Oosterlaken
  • Patent number: 9033638
    Abstract: A storage system for items such as substrate carriers includes at least one stocker including a plurality of storage areas each adapted to store at least one storage device. At least one movable support is arranged on the at least one stocker. A control controls movement of the at least one movable support at least between a first position wherein the at least one movable support receives the at least one storage device outside one of the storage areas and a second position wherein the at least one movable support is arranged substantially within the one of the storage areas.
    Type: Grant
    Filed: April 17, 2007
    Date of Patent: May 19, 2015
    Assignee: International Business Machines Corporation
    Inventors: Jeffrey P Gifford, David J. Pinckney, Peter J. Shaffer, Uldis A. Ziemins
  • Publication number: 20150133044
    Abstract: A cooling mechanism includes a plurality of support stands which is provided in a vertical direction over a plurality of stages in an atmospheric transfer chamber where a down-flow is formed, a plurality of support pins which is provided in each of the support stands and supports a target object in contact with the backside of the target object. The cooling mechanism further includes a plurality of air guide plates which is provided in the support stands and cools the target object supported by the support stand located at a lower stage using the down-flow.
    Type: Application
    Filed: May 2, 2013
    Publication date: May 14, 2015
    Inventors: Keita Kumagai, Yoshiaki Sasaki, Hirohito Kikushima, Hayato Itomi
  • Patent number: 9028191
    Abstract: Reduction in cooling rate of a substrate having a lower temperature is suppressed because the substrate having a lower temperature is not affected by radiant heat of a substrate having a higher temperature while cooling a plurality of substrates in a cooling chamber.
    Type: Grant
    Filed: June 17, 2011
    Date of Patent: May 12, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Takeshi Yasui, Yukitomo Hirochi, Satoshi Takano, Ritsuo Horii, Makoto Kawabata
  • Patent number: 9027739
    Abstract: A substrate transport system includes a substrate cart inside a chamber and a linearly driven shuttle outside the chamber configured to levitate the substrate cart into a non contact, spaced relationship with respect to outwardly opposing sides of an interior wall of the chamber and to linearly drive the substrate cart within the chamber.
    Type: Grant
    Filed: September 17, 2012
    Date of Patent: May 12, 2015
    Assignee: Persimmon Technologies Corporation
    Inventor: Martin Hosek
  • Patent number: 9022714
    Abstract: A substrate processing system and substrate transferring method capable of transferring a substrate bi-directionally through the use of substrate transferring device provided between two rows of processing chambers arranged linearly, thereby improving the substrate-transferring efficiency, the substrate processing system includes a transfer chamber having at least one bi-directional substrate transferring device for bi-directionally transferring a substrate; and a plurality of processing chambers for applying a semiconductor-manufacturing process to the substrate, wherein the plurality of processing chambers are linearly arranged along two rows confronting each other, and the transfer chamber is interposed between the two rows of the processing chambers, wherein the bi-directional substrate transferring device have a moving unit inside the transfer chamber, and horizontally moved by a linear motor; and a bi-directional substrate transferring unit in the moving unit, the bi-directional substrate transferring u
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: May 5, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kyoo Hwan Lee, Duck Won Moon, Jae Wook Choi
  • Patent number: 9016998
    Abstract: A load lock having a reduced volume, thereby allowing faster pumping and venting, is disclosed. The load lock uses a movable bottom wall to modify the volume of the chamber to be pumped. In a first position, the movable wall is disposed so as to create a small internal volume. In a second position, the bottom wall is moved downward, allowing the workpiece to be in contact with a process chamber or an exit aperture. The bottom wall may be sealed in the first position through the use of a sealing mechanism, such as a magnetic clamp. The bottom wall may also include a workpiece holding mechanism. The top wall may be a removable cover, which is moved by an actuator. A robotic mechanism may supply workpieces to the load lock while the top wall is in the open position.
    Type: Grant
    Filed: March 14, 2013
    Date of Patent: April 28, 2015
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Jeffrey C. Blahnik, Robert Brent Vopat, William T. Weaver
  • Patent number: 9011065
    Abstract: A vacuum processing apparatus which includes an atmospheric transfer chamber having a plurality of cassette stands for transferring a wafer, a lock chamber for storing the wafer, a first vacuum transfer chamber to which the wafer from the lock chamber is transferred, a transfer intermediate chamber connected to the first vacuum transfer chamber, and a second vacuum transfer chamber connected to the transfer intermediate chamber. At least one vacuum processing chamber is connected to the first vacuum transfer chamber, and two or more vacuum processing chambers are connected to a rear side of the second vacuum transfer chamber. A plurality of gate valves are disposed between the first vacuum transfer chamber and each of the lock chamber, the transfer intermediate chamber, and the vacuum processing chamber coupled to the first vacuum transfer chamber. A control unit is also provided for controlling operation of the gate valves.
    Type: Grant
    Filed: August 30, 2010
    Date of Patent: April 21, 2015
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Susumu Tauchi, Hideaki Kondo, Teruo Nakata, Keita Nogi, Atsushi Shimoda, Takafumi Chida
  • Patent number: 9011632
    Abstract: A support disk fixing apparatus which includes an upper surface to which a wafer is bonded, a lower surface, a cylindrical side surface between the upper surface and the lower surface, and a chamfered portion between the upper surface and the side surface, includes a base upon which the support disk is placed; and a fixture that is provided on the base, and that has a first surface that abuts against the side surface of the support disk and covers the side surface of the support disk, and a second surface that abuts against the chamfered portion of the support disk and covers the chamfered portion of the support disk.
    Type: Grant
    Filed: October 21, 2013
    Date of Patent: April 21, 2015
    Assignee: Toyota Jidosha Kabushiki Kaisha
    Inventor: Taichi Yoshida
  • Patent number: 9011064
    Abstract: A device and method for holding a substrate, e.g., a semiconductor wafer, during a process, e.g., a liquid meniscus process, the substrate having a first side and a second side. The device includes one or more holding components, e.g., fingers, configured to contact a second side of the substrate without significantly contacting the first side of the substrate. At least one of the holding components may be configured to be moved during the process so as to prevent the at least one holding components from effecting the process, e.g., contacting the liquid meniscus. Such an arrangement may be employed when the substrate includes a top side having at least one structure or feature thereon, it being desirable that the holding components avoid contact with the structures or features during the process.
    Type: Grant
    Filed: November 22, 2006
    Date of Patent: April 21, 2015
    Assignee: Materials and Technologies Corporation
    Inventor: Ricardo I. Fuentes
  • Publication number: 20150096682
    Abstract: In a chemical liquid container replacement device D2 configured to replace a chemical liquid container 50, multiple chemical liquid containers 50 respectively connected to base end sides of chemical liquid supply paths configured to supply chemical liquids, and a nozzle attachment/detachment device 61 is configured to attach/detach the base end side of the chemical liquid supply path with respect to the chemical liquid container 50 of a container arrangement section 60. A loading/unloading port 62 loads a new chemical liquid container 50 for performing a liquid process on a substrate W and unloads a completely used chemical liquid container 50. A container transfer device 7 unloads the completely used chemical liquid container 50 from the container arrangement section 60 toward the loading/unloading port 62 and loads the new chemical liquid containers 50 from the loading/unloading port 62 toward the container arrangement section 60.
    Type: Application
    Filed: October 7, 2014
    Publication date: April 9, 2015
    Inventor: Tsunenaga Nakashima
  • Patent number: 8998553
    Abstract: A system for transporting substrates from an atmospheric pressure to high vacuum pressure and comprising: a rough vacuum chamber having an entry valve and an exit opening; a high vacuum chamber having an entry opening, the high vacuum chamber coupled to the rough vacuum chamber such that the exit opening and the entry opening are aligned; a valve situated between the exit opening and the entry opening; a first conveyor belt provided in the rough vacuum chamber; a second conveyor provided in the high vacuum chamber; a sensing element provided in the high vacuum chamber to enable detection of broken substrates on the second conveyor; and, a mechanism provided on the second conveyor belt enabling dumping of broken substrates onto the bottom of the high vacuum chamber.
    Type: Grant
    Filed: December 7, 2012
    Date of Patent: April 7, 2015
    Assignee: Intevac, Inc.
    Inventors: Vinay Shah, William Runstadler, Jr., Kevin P. Fairbairn, Terry Bluck, Richard Henry Cooke
  • Patent number: 8998552
    Abstract: To provide a processor and a processing method to make the operation to load and unload workpieces to and from a processing chamber more efficient, and improve workpiece processing efficiency.
    Type: Grant
    Filed: March 24, 2009
    Date of Patent: April 7, 2015
    Assignee: Orbotech LT Solar, LLC.
    Inventors: Masato Toshima, Linh Can
  • Patent number: 8996154
    Abstract: A robot system according to one aspect of an embodiment includes a robot and an instructing module. The robot holds one of a plurality of feed materials used for processing a workpiece. The instructing module gives instructions to the robot, when the feed materials are used for processing the single workpiece, for an operation in which the feed material held last in the previous round of processing a workpiece is used first in the subsequent round of processing a workpiece.
    Type: Grant
    Filed: March 15, 2012
    Date of Patent: March 31, 2015
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Takashi Shiino, Keigo Ishibashi
  • Patent number: 8992153
    Abstract: Introduction of substrates into vacuum environment is accomplish by gradually reducing the number of substrates being transferred simultaneously as the clean and evacuated environment is progressed. Cassettes are maintained in clean atmospheric environment and do not enter the vacuum environment. Several vacuum locks are linearly staggered so as to introduce progressively higher level of vacuum environment. The number of substrates transported through this arrangement is a portion of the number of substrates present in each cassette. The staggered vacuum locks lead to a series of processing chambers, wherein a yet smaller number of substrates, e.g., one or two, are transported.
    Type: Grant
    Filed: June 30, 2009
    Date of Patent: March 31, 2015
    Assignee: Intevac, Inc.
    Inventors: Stuart Scollay, Terry Bluck, Xiang Chen
  • Publication number: 20150086300
    Abstract: A system for transferring articles between an atmospheric pressure environment and a vacuum pressure environment. The system may include a vacuum enclosure having a wall separating the atmospheric pressure environment from the vacuum pressure environment. A transfer shaft may extend through the wall from the atmospheric pressure environment to the vacuum pressure environment. The transfer shaft may include an atmospheric transfer port disposed within the atmospheric pressure environment, a vacuum transfer port disposed within the vacuum pressure environment, and an intermediate port disposed adjacent a channel in the wall. The system may further include a movable transfer carriage disposed within the transfer shaft, the transfer carriage having an access port for providing access to an interior of the transfer carriage. The system may further include an air bearing on the transfer carriage configured to expel gas for maintaining a gap between the transfer carriage and the transfer shaft.
    Type: Application
    Filed: September 20, 2013
    Publication date: March 26, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Sheri A. Roub, Stacia L. Theriault, Fletcher I. Potter, Daniel L. Goodwin, Omar S. Kiyani
  • Publication number: 20150086301
    Abstract: There is disclosed a carrier and method for handling and/or transport of a substrate, such as during processing of the substrate, for example, back-thinning. The carrier and method provide support for the substrate. The process is particularly suited to thinning of substrates for use in 3D integrated circuits. The carrier comprises: a contact surface with one or more recesses therein for trapping a volume when the contact surface is brought towards the substrate, the contact surface for supporting the substrate; a sealing surface at the periphery of the contact surface and offset from the contact surface; and the sealing member seating on the sealing surface and arranged to be compressed to form a seal to the substrate when a substrate is in contact with the contact surface, the seal sealing the trapped volume between the substrate and carrier.
    Type: Application
    Filed: February 13, 2013
    Publication date: March 26, 2015
    Applicant: Applied Microengineering Limited
    Inventors: Tony Rogers, Rob Santilli
  • Patent number: 8985929
    Abstract: A substrate processing apparatus includes: a carrier block including first and second carrier placement units spaced apart in a right and left direction; a processing block having a layered structure in which a plurality of layered parts are vertically arranged, the layered parts each including a substrate transport mechanism for transporting a substrate and a processing module for processing a substrate; a tower unit including plural substrate placement units located at height positions where a substrate is transferred by the substrate transport mechanism of the layered part corresponding to the substrate placement unit; a first substrate transfer mechanism configured to transfer a substrate between the carrier on the first carrier placement unit and the substrate placement unit of the tower unit; and a second substrate transfer mechanism configured to transfer a substrate between the carrier on the second substrate placement unit and the substrate placement unit of the tower unit.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Suguru Enokida, Masahiro Nakaharada, Akira Miyata, Hidekazu Kiyama, Naruaki Iida
  • Publication number: 20150078863
    Abstract: A transfer device configured by connecting a plurality of housing-shaped transfer units in series includes: a pair of coil arrays including a plurality of coils arranged in the transfer units along an arrangement direction of the transfer units; a transfer base disposed between the coil arrays; and a plurality of fitting parts installed in one to one correspondence with the coils, the fitting parts being interposed between the coils and inner wall surfaces of the transfer units, wherein the transfer base has magnets facing the coil arrays, a plurality of through holes are formed in one to one correspondence with the coils in each of the transfer units, each of the fitting parts has a bar-shaped protrusion configured to be inserted into a corresponding one of the through holes, and a sealing member is interposed between the protrusion and the corresponding one of the through holes.
    Type: Application
    Filed: November 21, 2014
    Publication date: March 19, 2015
    Inventor: Tsutomu HIROKI
  • Patent number: 8979462
    Abstract: A substrate-processing apparatus includes a plurality of process chambers, a buffer unit, and a transfer member. The transfer member transfers the substrate between the process chambers and the buffer unit, and collects the substrates processed in at least two of the process chambers where processes are simultaneously finished to transfer the processed substrates to the buffer unit at once. Accordingly, a number of times during which the transfer member transfers substrates is decreased so that the substrate-processing apparatus reduces the process time and improves the productivity.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: March 17, 2015
    Assignee: Semes Co., Ltd.
    Inventors: Kyung Mo Kim, Sang-Seok Hong
  • Patent number: 8979463
    Abstract: To prevent an overload from being imposed on a door drive mechanism when driving a door increased in weight due to upsizing so that reproducibility of a stop position of the door can be ensured, provided is a load port apparatus in which the door drive mechanism for driving the door in a direction perpendicular to an opening-portion forming plane is constituted by: a rotary cylinder capable of pivoting a cam follower from an angle of 0° to an angle of 180°; and a slider including a cam groove capable of housing the cam follower within a plane perpendicular to a rotational axis of the rotary cylinder, the cam groove extending in a vertical direction, and in which the door is supported by the slider.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: March 17, 2015
    Assignee: TDK Corporation
    Inventors: Hiroshi Igarashi, Toshihiko Miyajima
  • Publication number: 20150071738
    Abstract: Disclosed herein is a manipulator apparatus. The manipulator apparatus includes a jig unit provided with a manipulator. The jig unit includes a first member provided with the manipulator, a second member configured to move the first member, and a third member configured to move the second member.
    Type: Application
    Filed: September 11, 2014
    Publication date: March 12, 2015
    Applicant: ALLIED TECHFINDERS CO., LTD.
    Inventor: Kee Won SUH
  • Publication number: 20150071737
    Abstract: A transport apparatus including a robot drive; an arm having a first end connected to the robot drive; and at least one end effector connected to a second end of the arm. The arm includes at least three links connected in series to form the arm. The arm is configured to be moved by the robot drive to move the at least one end effector among load locks and two or more sets of opposing process modules.
    Type: Application
    Filed: September 9, 2014
    Publication date: March 12, 2015
    Inventors: Christopher HOFMEISTER, Martin HOSEK
  • Patent number: 8974167
    Abstract: The front automatic storage system includes a plurality of shelves, a transferring apparatus, and a moveable frame. The shelves are arranged facing a semiconductor processing apparatus and at least one of the shelves is positioned above a transfer port of the semiconductor processing apparatus. The transferring apparatus is contrived to move among the shelves and transfer cassettes C between any shelf and the transfer port. The frame is contrived such that it can move the transferring apparatus and the at least one shelf positioned above the transfer port. The frame is also contrived such that it can move in a first horizontal direction toward and away from a first position where the at least one shelf is arranged above the transfer section.
    Type: Grant
    Filed: June 4, 2010
    Date of Patent: March 10, 2015
    Assignee: Murata Machinery, Ltd.
    Inventor: Yasuhisa Ito
  • Publication number: 20150063955
    Abstract: A load port device transfers a semiconductor wafer between a substrate processing apparatus and a container accommodating the semiconductor wafer. The load port device includes: a door for opening and closing the opening from the inside of the substrate processing apparatus, and attaching and detaching a lid of the container to and from the container while holding the lid; a door driving unit for driving the door to open and close the opening; and an elastic body disposed at a surface of the door to correct inclination of the door with respect to an opening surface of the container when the lid held by the door is attached to the container and receives a reactive force from the semiconductor wafer in the container.
    Type: Application
    Filed: September 4, 2014
    Publication date: March 5, 2015
    Inventor: Takahiro MIKI
  • Publication number: 20150063954
    Abstract: Systems and methods for facilitating expeditious handling and processing of semiconductor substrates with a minimal number of handling devices. Such a system may include an entry load-lock configured to transfer substrates from an atmospheric environment to a vacuum chamber, an alignment station disposed in the vacuum chamber and configured to adjust orientations of substrates, a first vacuum robot configured to move substrates from the entry load-lock to the alignment station, a process station disposed in the vacuum chamber and configured to perform a designated process on substrates, first and second exit load-locks configured to transfer substrates from the vacuum chamber to the atmospheric environment, and a second vacuum robot configured to move substrates from the alignment station to the process station and further configured to move substrates from the process station to the first exit load-lock and to the second exit load-lock in an alternating fashion.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 5, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert J. Mitchell, Eric Hermanson, Benjamin B. Riordon
  • Patent number: 8972036
    Abstract: Only a wafer for QC check may be transferred and a production wafer may prevent from being transferred into an assigned process chamber whose QC check is not completed after a maintenance task, and the production wafer may be processed the assigned process chamber after the completion of the QC check. The wafer for QC check is transferred while inhibiting a transfer of the production wafer into the assigned process chamber, and the production wafer is transferred into each of the process chambers of the plurality except the assigned process chamber.
    Type: Grant
    Filed: October 17, 2013
    Date of Patent: March 3, 2015
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Makoto Nomura
  • Publication number: 20150056043
    Abstract: A workpiece orientation is determined by camera during transfer to a load lock, and the orientation is corrected during load lock pump down.
    Type: Application
    Filed: October 10, 2013
    Publication date: February 26, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Michael Carl Hankes
  • Patent number: 8955406
    Abstract: The vacuum seal unit of a workpiece transfer apparatus includes a plurality of seal rings and a cooling flow passage formed so as to circulate through inside a rotating shaft and a workpiece transfer mechanism. The seal rings adjacent to each other with a spacing therebetween, out of the plurality of seal rings, and the rotating shaft define a first space and a second space independent from each other and respectively surrounding the periphery of the rotating shaft. An end portion of the cooling flow passage communicates with the first space, and the other end of the cooling flow passage communicates with the second space. Even when the rotating shaft is rotating about the axial center, a coolant supplied to the second space can flow through the cooling flow passage and be supplied to the first space, thereby enabling cooling with high efficiency without restriction on the angle of rotation.
    Type: Grant
    Filed: August 31, 2012
    Date of Patent: February 17, 2015
    Assignee: Daihen Corporation
    Inventors: Takaya Yamada, Masashi Kamitani
  • Publication number: 20150044001
    Abstract: An apparatus has a vacuum transport chamber having first and second isolation valves coupled to first and second substrate processing locations, and third and fourth isolation valves coupled to a load lock. First and substrate transport vacuum robots are provided. The load lock is between the first and second substrate transport vacuum robots, and has an atmospheric isolation valve. The atmospheric isolation valve, the third and the fourth isolation valves are arranged in a spaced triangular relationship. The first substrate transport vacuum robot transports a processed substrate from the first processing location to the load lock and transports an unprocessed substrate from the load lock to the first processing location substantially simultaneously as the second substrate transport vacuum robot transports a different processed substrate from the second processing location to the load lock and transports a different unprocessed substrate from the load lock to the second processing location.
    Type: Application
    Filed: August 8, 2014
    Publication date: February 12, 2015
    Inventors: Christopher Hofmeister, Martin Hosek
  • Patent number: 8950998
    Abstract: A substrate-handling vacuum robot includes a first robotic arm with a single-substrate end effector and a second robotic arm with a batch end effector. The single-substrate end effector permits single-substrate pick-and-place operations while the batch end effector permits batch handling of substrates within a vacuum environment.
    Type: Grant
    Filed: April 21, 2008
    Date of Patent: February 10, 2015
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: RE45772
    Abstract: A wafer transfer apparatus is provided. In a minimum transformed state where a robot arm is transformed such that a distance defined from a pivot axis to an arm portion, which is farthest in a radial direction relative to the pivot axis, is minimum, a minimum rotation radius R, is set to exceed ½ of a length B in the forward and backward directions of an interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R?B?L0).
    Type: Grant
    Filed: January 25, 2013
    Date of Patent: October 20, 2015
    Assignee: KAWASAKI JUKOGYO KABUSHIKI KAISHA
    Inventor: Yasuhiko Hashimoto