Of Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/805)
  • Patent number: 11894482
    Abstract: A system for wafer processing, includes: a frame comprising a frame opening; and a membrane configured to couple to the frame and to cover at least a part of the frame opening, the membrane comprising a membrane opening, wherein the membrane opening has a membrane opening area that is equal to or less than a frame opening area of the frame opening; wherein the membrane is configured for coupling with the wafer, wherein when the wafer is coupled with the membrane, the wafer covers the membrane opening, and wherein the membrane is configured to maintain the wafer at a certain position with respect to the frame; and wherein the membrane opening area is less than a total area of the wafer.
    Type: Grant
    Filed: December 20, 2022
    Date of Patent: February 6, 2024
    Assignee: Dual Helios Semiconductor Equipment Company, Inc.
    Inventor: Lawrence Chung-Lai Lei
  • Patent number: 11885846
    Abstract: A system for testing a semiconductor may include a transfer chamber, at least one loadlock chamber and at least one test chamber. The transfer chamber may include a plurality of sidewalls. The loadlock chamber may be arranged on a first sidewall of the sidewalls of the transfer chamber. The loadlock chamber may include a carrier configured to receive a plurality of wafers. The test chamber may be arranged on a second sidewall of the sidewalls of the transfer chamber. When the transfer chamber is connected to the loadlock chamber, a pressure of the transfer chamber may be changed into a pressure of the loadlock chamber. When the transfer chamber is connected to the test chamber, the pressure of the transfer chamber may be changed into a pressure of the test chamber.
    Type: Grant
    Filed: December 15, 2022
    Date of Patent: January 30, 2024
    Assignee: SK hynix Inc.
    Inventor: Gyung Jin Kim
  • Patent number: 11699599
    Abstract: Disclosed is an apparatus for transferring a substrate. The apparatus includes a transfer robot, a linear rail unit including a movable plate on which the transfer robot is mounted and a running shaft on which the movable plate travels, and a particle diffusion prevention member that prevents diffusion of particles to the outside by maintaining a differential pressure between the movable plate and the running shaft.
    Type: Grant
    Filed: October 15, 2020
    Date of Patent: July 11, 2023
    Assignee: Semes Co., Ltd.
    Inventors: Byung Kyu Kim, Dukhyun Son
  • Patent number: 11456190
    Abstract: A substrate processing apparatus includes a first processing module including a first processing module, a second processing module, a first utility system adjacent to a back surface of the first processing module, and a second utility system adjacent to a back surface of the second processing module, a first exhaust box of the first utility system and a second exhaust box of the second utility system being disposed to face each other across a maintenance area located behind a part of the back surface of the first processing module that is close to the second processing module and behind a part of the back surface of the second processing module that is close to the first processing module, and a first supply box of the first utility system and a second supply box of the second utility system being disposed to face each other across the maintenance area.
    Type: Grant
    Filed: March 31, 2021
    Date of Patent: September 27, 2022
    Assignee: KOKUSAI ELECTRIC CORPORATION
    Inventors: Daigi Kamimura, Tomoshi Taniyama, Takashi Nogami
  • Patent number: 11183407
    Abstract: The present disclosure, in some embodiments, relates to a wafer cassette system. The wafer cassette system includes a wafer cassette includes a first plurality of wafer slots respectively having a first width. An adaptive inset is fastened to the wafer cassette in a rigid connection. The adaptive inset includes a second plurality of wafer slots respectively having a second width that is less than the first width. The second plurality of wafer slots are configured to receive a substrate after the adaptive inset has been fastened to the wafer cassette.
    Type: Grant
    Filed: October 17, 2019
    Date of Patent: November 23, 2021
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Chia-Yuan Chen, Hung-Jen Lu, Ming-Hsien Lee, Po-Tao Chu
  • Patent number: 10775764
    Abstract: A working system includes at least one movable apparatus movable and at least one stationary apparatus. The movable apparatus includes a working unit performing a predetermined work. The stationary apparatus includes a control unit performing drive control of the working unit. A control method of the system includes: instructing, when the movable apparatus has reached a working position, the control unit of the stationary apparatus to establish communication with the working unit of the movable apparatus; updating status information indicating a communication establishment status, after the communication instruction; instructing the control unit to transmit an operation signal to the working unit in the working position; instructing the control unit to disconnect the communication, after the signal transmission instruction step; and updating the status information after the disconnection instruction.
    Type: Grant
    Filed: February 27, 2017
    Date of Patent: September 15, 2020
    Assignee: HIRATA CORPORATION
    Inventors: Hitoshi Shizuku, Nobuyuki Ono
  • Patent number: 10707060
    Abstract: The present invention provides a method for plasma dicing a substrate. The method comprising providing a process chamber having a wall; providing a plasma source adjacent to the wall of the process chamber; providing a work piece support within the process chamber; placing the substrate onto a support film on a frame to form a work piece work piece; loading the work piece onto the work piece support; providing a cover ring disposed above the work piece; generating a plasma through the plasma source; and etching the work piece through the generated plasma.
    Type: Grant
    Filed: September 14, 2018
    Date of Patent: July 7, 2020
    Assignee: Plasma-Therm LLC
    Inventors: Chris Johnson, David Johnson, Linnell Martinez, David Pays-Volard, Rich Gauldin, Russell Westerman, Gordon M. Grivna
  • Patent number: 10112259
    Abstract: Methods and apparatuses for dicing substrates by both laser scribing and plasma etching. A method includes laser ablating material layers, the ablating by a laser beam with a centrally peaked spatial power profile to form an ablated trench in the substrate below thin film device layers which is positively sloped. In an embodiment, a femtosecond laser forms a positively sloped ablation profile which facilitates vertically-oriented propagation of microcracks in the substrate at the ablated trench bottom. With minimal lateral runout of microcracks, a subsequent anisotropic plasma etch removes the microcracks for a cleanly singulated chip with good reliability.
    Type: Grant
    Filed: April 10, 2015
    Date of Patent: October 30, 2018
    Assignee: Applied Materials, Inc.
    Inventors: James M. Holden, Nir Merry, Todd Egan
  • Publication number: 20150132100
    Abstract: A temperature controlled loadlock chamber for use in semiconductor processing is provided. The temperature controlled loadlock chamber may include one or more of an adjustable fluid pump, mass flow controller, one or more temperature sensors, and a controller. The adjustable fluid pump provides fluid having a predetermined temperature to a temperature-controlled plate. The mass flow controller provides gas flow into the chamber that may also aid in maintaining a desired temperature. Additionally, one or more temperature sensors may be combined with the adjustable fluid pump and/or the mass flow controller to provide feedback and to provide a greater control over the temperature. A controller may be added to control the adjustable fluid pump and the mass flow controller based upon temperature readings from the one or more temperature sensors.
    Type: Application
    Filed: November 10, 2014
    Publication date: May 14, 2015
    Inventors: Chun-Hsien Lin, Jyh-Cherng Sheu, Ming-Feng Yoo, Kewei Zuo
  • Publication number: 20150125240
    Abstract: An apparatus for dynamically adjusting the pitch between substrates in a substrate stack comprises first and second lift portions. The first lift portion supports a first group of the plurality of substrates, and the second lift portion supports a second group of the plurality of substrates. The first and second lift portions are operable to move the first and second groups of substrates in a first direction independently from each other. This independent movement enables the pitch, or spacing, between adjacent substrates to be dynamically adjusted so that an end effector of a robot can be positioned between such adjacent substrates to pick one of the substrates without inadvertently engaging another substrate that is not being picked. Other embodiments are disclosed.
    Type: Application
    Filed: November 1, 2013
    Publication date: May 7, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert B. Vopat, Jason M. Shaller, Jeffrey Charles Blahnik, Malcom N. Daniel, JR.
  • Publication number: 20150125239
    Abstract: A device for transferring articles between an atmospheric pressure environment and a vacuum environment includes a transfer housing having an atmospheric transfer port, a pumping port, a vacuum transfer port, and a venting port disposed in a circumferentially-spaced relationship. The vacuum transfer port is in communication with the vacuum environment and the atmospheric transfer port is in communication with the atmospheric pressure environment. The device can include a carrier disc rotatably disposed within the transfer housing, the carrier disc having a pocket formed in a sidewall thereof for holding an article. The device may further include an air bearing associated with the transfer housing and configured to expel gas to maintain a gap between the transfer housing and the carrier disc.
    Type: Application
    Filed: November 5, 2013
    Publication date: May 7, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Sheri A. Roub, Stacia L. Theriault, Fletcher I. Potter, Daniel L. Goodwin, Omar S. Kiyani, Scott C. Holden
  • Patent number: 9022714
    Abstract: A substrate processing system and substrate transferring method capable of transferring a substrate bi-directionally through the use of substrate transferring device provided between two rows of processing chambers arranged linearly, thereby improving the substrate-transferring efficiency, the substrate processing system includes a transfer chamber having at least one bi-directional substrate transferring device for bi-directionally transferring a substrate; and a plurality of processing chambers for applying a semiconductor-manufacturing process to the substrate, wherein the plurality of processing chambers are linearly arranged along two rows confronting each other, and the transfer chamber is interposed between the two rows of the processing chambers, wherein the bi-directional substrate transferring device have a moving unit inside the transfer chamber, and horizontally moved by a linear motor; and a bi-directional substrate transferring unit in the moving unit, the bi-directional substrate transferring u
    Type: Grant
    Filed: January 12, 2010
    Date of Patent: May 5, 2015
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Kyoo Hwan Lee, Duck Won Moon, Jae Wook Choi
  • Publication number: 20150118012
    Abstract: The embodiments herein relate to methods and apparatus for inserting a substrate into a processing chamber. While many of the disclosed embodiments are described in relation to insertion of a semiconductor substrate into an anneal chamber with minimal introduction of oxygen, the implementations are not so limited. The disclosed embodiments are useful in many different situations where a relatively flat object is inserted through a channel into a processing volume, where it is desired that a particular gas concentration in the processing volume remain low. The disclosed embodiments use multiple cavities to serially attenuate the concentration of oxygen as the substrate moves into the processing volume of the anneal chamber. In some cases, a relatively high flow of gas originating from the anneal chamber is used. Further, a relatively low transfer speed may be used to transport the substrate into and out of the anneal chamber.
    Type: Application
    Filed: October 31, 2013
    Publication date: April 30, 2015
    Applicant: Lam Research Corporation
    Inventor: Jeffrey Alan Hawkins
  • Patent number: 9011075
    Abstract: A substrate processing method includes transferring unprocessed substrates to a first substrate holder by way of lowering a first substrate accommodation unit and loading the unprocessed substrates into a processing chamber in sequence while sequentially rotating a substrate mounting table at a preset angle in one direction, performing a preset process on substrates in a batch-type, and unloading processed substrates from the processing chamber by the first substrate holder after a completion of the preset process, transferring the processed substrates into the first substrate accommodation unit from the first substrate holder by way of raising the first substrate accommodation unit, transferring unprocessed substrates to a second substrate holder by way of lowering a second substrate accommodation unit and loading the unprocessed substrate into the processing chamber in sequence while sequentially rotating the substrate mounting table at the preset angle in the another direction.
    Type: Grant
    Filed: April 18, 2012
    Date of Patent: April 21, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Hiromitsu Sakaue, Takashi Horiuchi
  • Publication number: 20150098790
    Abstract: A substrate transfer method is performed by a transfer unit including a first transfer arm and a second transfer arm which are separately movable and are overlapped with each other. A moving speed of each of the first transfer arm and the second transfer arm that is not transferring a substrate is set to be higher than a moving speed of each of the first transfer arm and the second transfer arm that is transferring a substrate.
    Type: Application
    Filed: October 6, 2014
    Publication date: April 9, 2015
    Inventor: Shinji WAKABAYASHI
  • Publication number: 20150086300
    Abstract: A system for transferring articles between an atmospheric pressure environment and a vacuum pressure environment. The system may include a vacuum enclosure having a wall separating the atmospheric pressure environment from the vacuum pressure environment. A transfer shaft may extend through the wall from the atmospheric pressure environment to the vacuum pressure environment. The transfer shaft may include an atmospheric transfer port disposed within the atmospheric pressure environment, a vacuum transfer port disposed within the vacuum pressure environment, and an intermediate port disposed adjacent a channel in the wall. The system may further include a movable transfer carriage disposed within the transfer shaft, the transfer carriage having an access port for providing access to an interior of the transfer carriage. The system may further include an air bearing on the transfer carriage configured to expel gas for maintaining a gap between the transfer carriage and the transfer shaft.
    Type: Application
    Filed: September 20, 2013
    Publication date: March 26, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Sheri A. Roub, Stacia L. Theriault, Fletcher I. Potter, Daniel L. Goodwin, Omar S. Kiyani
  • Publication number: 20150086301
    Abstract: There is disclosed a carrier and method for handling and/or transport of a substrate, such as during processing of the substrate, for example, back-thinning. The carrier and method provide support for the substrate. The process is particularly suited to thinning of substrates for use in 3D integrated circuits. The carrier comprises: a contact surface with one or more recesses therein for trapping a volume when the contact surface is brought towards the substrate, the contact surface for supporting the substrate; a sealing surface at the periphery of the contact surface and offset from the contact surface; and the sealing member seating on the sealing surface and arranged to be compressed to form a seal to the substrate when a substrate is in contact with the contact surface, the seal sealing the trapped volume between the substrate and carrier.
    Type: Application
    Filed: February 13, 2013
    Publication date: March 26, 2015
    Applicant: Applied Microengineering Limited
    Inventors: Tony Rogers, Rob Santilli
  • Patent number: 8985937
    Abstract: A stocker apparatus and method have openers for receiving FOUPs acting as containers each for storing a plurality of substrates, to feed and collect the substrates to/from a substrate treating apparatus main body, a transport mechanism for holding and transporting the FOUPs, and racks arranged above the openers for receiving the FOUPs. The racks include an incoming rack for receiving the FOUPs from an external transport device, an outgoing rack for delivering the FOUPs to the external transport device, and a mid-treatment storage rack for keeping an empty FOUP after the substrates are fed therefrom. The openers include a feed-only opener for feeding the substrates, and a collect-only opener for collecting the substrates.
    Type: Grant
    Filed: October 11, 2011
    Date of Patent: March 24, 2015
    Assignee: SCREEN Semiconductor Solutions Co., Ltd.
    Inventors: Yoshiteru Fukutomi, Hideyuki Iwata
  • Patent number: 8985929
    Abstract: A substrate processing apparatus includes: a carrier block including first and second carrier placement units spaced apart in a right and left direction; a processing block having a layered structure in which a plurality of layered parts are vertically arranged, the layered parts each including a substrate transport mechanism for transporting a substrate and a processing module for processing a substrate; a tower unit including plural substrate placement units located at height positions where a substrate is transferred by the substrate transport mechanism of the layered part corresponding to the substrate placement unit; a first substrate transfer mechanism configured to transfer a substrate between the carrier on the first carrier placement unit and the substrate placement unit of the tower unit; and a second substrate transfer mechanism configured to transfer a substrate between the carrier on the second substrate placement unit and the substrate placement unit of the tower unit.
    Type: Grant
    Filed: September 12, 2012
    Date of Patent: March 24, 2015
    Assignee: Tokyo Electron Limited
    Inventors: Suguru Enokida, Masahiro Nakaharada, Akira Miyata, Hidekazu Kiyama, Naruaki Iida
  • Patent number: 8979462
    Abstract: A substrate-processing apparatus includes a plurality of process chambers, a buffer unit, and a transfer member. The transfer member transfers the substrate between the process chambers and the buffer unit, and collects the substrates processed in at least two of the process chambers where processes are simultaneously finished to transfer the processed substrates to the buffer unit at once. Accordingly, a number of times during which the transfer member transfers substrates is decreased so that the substrate-processing apparatus reduces the process time and improves the productivity.
    Type: Grant
    Filed: April 9, 2009
    Date of Patent: March 17, 2015
    Assignee: Semes Co., Ltd.
    Inventors: Kyung Mo Kim, Sang-Seok Hong
  • Publication number: 20150063954
    Abstract: Systems and methods for facilitating expeditious handling and processing of semiconductor substrates with a minimal number of handling devices. Such a system may include an entry load-lock configured to transfer substrates from an atmospheric environment to a vacuum chamber, an alignment station disposed in the vacuum chamber and configured to adjust orientations of substrates, a first vacuum robot configured to move substrates from the entry load-lock to the alignment station, a process station disposed in the vacuum chamber and configured to perform a designated process on substrates, first and second exit load-locks configured to transfer substrates from the vacuum chamber to the atmospheric environment, and a second vacuum robot configured to move substrates from the alignment station to the process station and further configured to move substrates from the process station to the first exit load-lock and to the second exit load-lock in an alternating fashion.
    Type: Application
    Filed: August 29, 2013
    Publication date: March 5, 2015
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert J. Mitchell, Eric Hermanson, Benjamin B. Riordon
  • Publication number: 20150056043
    Abstract: A workpiece orientation is determined by camera during transfer to a load lock, and the orientation is corrected during load lock pump down.
    Type: Application
    Filed: October 10, 2013
    Publication date: February 26, 2015
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Michael Carl Hankes
  • Publication number: 20150044014
    Abstract: A coal feed system to feed pulverized low rank coals containing up to 25 wt % moisture to gasifiers operating up to 1000 psig pressure is described. The system includes gas distributor and collector gas permeable pipes imbedded in the lock vessel. Different methods of operation of the feed system are disclosed to minimize feed problems associated with bridging and packing of the pulverized coal. The method of maintaining the feed system and feeder device exit pressures using gas addition or extraction with the pressure control device is also described.
    Type: Application
    Filed: September 17, 2014
    Publication date: February 12, 2015
    Inventors: Pannalal Vimalchand, Guohai Liu, Wan Wang Peng
  • Publication number: 20150037514
    Abstract: A method and apparatus for coating and baking and deposition of surfaces on glass substrate or flexible substrate, such as films and thin glass sheets or other similar work pieces as it transitions thru and between small gaps of aero-static or hydro-static porous media bearings and differentially pumped vacuum grooves, in a non-contact manner, in order to process within a vacuum environment. The process is also intended to incorporate simultaneous and immediately sequential ordering of various processes.
    Type: Application
    Filed: August 4, 2014
    Publication date: February 5, 2015
    Applicant: NEW WAY MACHINE COMPONENTS, INC.
    Inventor: Andrew J. Devitt
  • Publication number: 20150016941
    Abstract: An electronic device manufacturing system is disclosed. The system includes a processing tool having one or more processing chambers each adapted to perform an electronic device manufacturing process on one or more substrates; a substrate carrier adapted to couple to the system and carry one or more substrates; and a component adapted to create a sealed environment relative to at least a portion of the substrate carrier and to substantially equalize the sealed environment with an environment within the substrate carrier. Methods of the invention are described as are numerous other aspects.
    Type: Application
    Filed: October 1, 2014
    Publication date: January 15, 2015
    Inventors: Michael Robert Rice, Jeffrey C. Hudgens
  • Patent number: 8920097
    Abstract: A wafer handling system includes providing a loadlock tray assembly having a plurality of vertically-stacked loadlock trays; and mounting nipples around each of the plurality of vertically-stacked loadlock trays for supporting a plurality of wafers, each of the nipple having a flat top.
    Type: Grant
    Filed: November 1, 2007
    Date of Patent: December 30, 2014
    Assignee: GLOBALFOUNDRIES Singapore Pte. Ltd.
    Inventor: Soon Chye Chan
  • Publication number: 20140341700
    Abstract: A system and method are disclosed for substrate handling. The system can include a robot adapter configured to connect to a robot, and first and second end effectors connected to the robot adapter. The robot adapter is configured to move the first and second end effectors from a first, retracted, position to a second, extended, position. In the extended position, the first or second end effector is disposed within a top entry load lock for picking or dropping a plurality of substrates therein. The first and second end effectors can be selectively and independently movable. The robot adapter can be rotatable so as to selectively position one of the end effectors over the top entry load lock. Methods for quickly swapping processed and unprocessed substrates in the top entry load lock are also disclosed and claimed.
    Type: Application
    Filed: May 20, 2013
    Publication date: November 20, 2014
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Robert Brent Vopat, Jason M. Schaller, William Weaver
  • Publication number: 20140294555
    Abstract: A method for operating a vacuum processing apparatus, the vacuum processing apparatus including: a plurality of cassette stands on which a cassette capable of housing a plurality of wafers therein can be placed; a plurality of vacuum processing vessels each having a processing chamber arranged therein, wherein the wafer is arranged and processed in the processing chamber; and at least one transport robot transporting the wafer on a transport path between either one of the plurality of cassettes and the plurality of vacuum processing vessels, the vacuum processing apparatus sequentially transporting in a predetermined transport order the plurality of wafers from either one of the plurality of cassettes to a predetermined one of the plurality of vacuum processing vessels and processing the plurality of wafers. The method includes a number determining step, a remaining-time determining step and a transport order skip step.
    Type: Application
    Filed: August 26, 2013
    Publication date: October 2, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Michinori KAWAGUCHI, Satomi INOUE, Yoshiro SUEMITSU, Keita NOGI
  • Publication number: 20140271053
    Abstract: Disclosed are a wafer carrier that keeps wafers under a constant pressure, at any preset value below or above the atmospheric pressure, to prevent wafer contaminations arising from atmospheric exposure in conventional wafer carriers, and also, a wafer transport system and method utilizing the same wafer carrier. The wafer carrier charged with a preset carrier pressure is transported and docked with an airlock of a wafer processing tool comprising the airlock, a vacuum transfer module, and a process chamber. The airlock adjusts, by a gas pump, inner pressure to equate successively with, first, the carrier pressure before opening the carrier door, and next, the vacuum transfer module pressure before opening the latter's door. The wafers are then transferred into the process chamber. After processing, the wafers are transferred back into the wafer carrier and charged with the preset carrier pressure before undocked and transported to the next wafer processing tool.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Inventor: Taiwan Semiconductor Manufacturing Company, Ltd.
  • Publication number: 20140271048
    Abstract: A load lock having a reduced volume, thereby allowing faster pumping and venting, is disclosed. The load lock uses a movable bottom wall to modify the volume of the chamber to be pumped. In a first position, the movable wall is disposed so as to create a small internal volume. In a second position, the bottom wall is moved downward, allowing the workpiece to be in contact with a process chamber or an exit aperture. The bottom wall may be sealed in the first position through the use of a sealing mechanism, such as a magnetic clamp. The bottom wall may also include a workpiece holding mechanism. The top wall may be a removable cover, which is moved by an actuator. A robotic mechanism may supply workpieces to the load lock while the top wall is in the open position.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 18, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventor: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
  • Publication number: 20140271051
    Abstract: A substrate processing apparatus for processing a substrate includes a transfer device which has a drive motor and transfers a substrate, a peripheral device which has a drive motor and loads and unloads the substrate in substrate-transfer operation of the transfer device, and a control device which conducts drive control on the drive motor of the transfer device and drive control of the drive motor of the peripheral device.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu HIROKI
  • Publication number: 20140271055
    Abstract: Electronic device processing systems are described. The system includes a mainframe housing having a transfer chamber, a first facet, a second facet opposite the first facet, a third facet, and a fourth facet opposite the third facet, a first carousel assembly coupled to a first facet, a second carousel assembly coupled to the third facet, a first load lock coupled to the second facet, a second load lock coupled to the fourth facet, and a robot adapted to operate in the transfer chamber to exchange substrates from the first and second carousels. Methods and multi-axis robots for transporting substrates are described, as are numerous other aspects.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventors: William T. Weaver, Malcolm N. Daniel, JR., Robert B. Vopat, Jason M. Schaller, Jacob Newman, Dinesh Kanawade, Andrew J. Constant, Stephen C. Hickerson, Jeffrey C. Hudgens, Marvin L. Freeman
  • Publication number: 20140271097
    Abstract: Systems, chambers, and processes are provided for controlling process defects caused by moisture contamination. The systems may provide configurations for chambers to perform multiple operations in a vacuum or controlled environment. The chambers may include configurations to provide additional processing capabilities in combination chamber designs. The methods may provide for the limiting, prevention, and correction of aging defects that may be caused as a result of etching processes performed by system tools.
    Type: Application
    Filed: February 24, 2014
    Publication date: September 18, 2014
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Anchuan WANG, Xinglong CHEN, Zihui LI, Hiroshi HAMANA, Zhijun CHEN, Ching-Mei HSU, Jiayin HUANG, Nitin K. INGLE, Dmitry LUBOMIRSKY, Shankar VENKATARAMAN, Randhir THAKUR
  • Publication number: 20140271054
    Abstract: Various embodiments of batch load lock apparatus are disclosed. The batch load lock apparatus includes a load lock body including first and second load lock openings, a lift assembly within the load lock body, the lift assembly including multiple wafer stations, each of the multiple wafer stations adapted to provide access to wafers through the first and second load lock openings, wherein the batch load lock apparatus includes temperature control capability (e.g., heating or cooling). Batch load lock apparatus is capable of transferring batches of wafers into and out of various processing chambers. Systems including the batch load lock apparatus and methods of operating the batch load lock apparatus are also provided, as are numerous other aspects.
    Type: Application
    Filed: March 14, 2014
    Publication date: September 18, 2014
    Inventors: William T. Weaver, Joseph Yudovsky, Jason M. Schaller, Jeffrey C. Blahnik, Robert B. Vopat, Malcolm N. Daniel, JR., Robert Mitchell
  • Publication number: 20140271049
    Abstract: An operating ratio is improved in a vacuum processing apparatus to which a plurality of vacuum transfer chambers are connected through a vacuum transfer intermediate chamber. In a method of operating the vacuum processing apparatus having the plurality of vacuum transfer chambers connected through the vacuum transfer intermediate chamber and a plurality of vacuum processing vessels connected to the vacuum transfer chambers, respectively, the plurality of vacuum transfer chambers are made to communicate through the vacuum transfer intermediate chamber, a purge gas is supplied to the vacuum transfer chamber connected to a lock chamber in the plurality of vacuum transfer chambers, an inside of the transfer chamber of the vacuum transfer chamber which is far from the lock chamber is decompressed/exhausted, and pressures in all the transfer chambers of the plurality of vacuum transfer chambers are raised to be higher than the pressure in the vacuum processing vessel.
    Type: Application
    Filed: February 19, 2014
    Publication date: September 18, 2014
    Inventors: Ryoichi Isomura, Yutaka Kudo, Takahiro Shimomura
  • Publication number: 20140241848
    Abstract: A slitvalve that uses magnetic energy to move a door in a direction normal to the plane of the wall is disclosed. An electrically switchable magnet is used to draw the door toward the wall to seal an aperture in the wall. Compressed Dry Air or other mechanisms may be employed to move the door between a first open position and a second closed position. A method of passing a workpiece between two different environments utilizing this magnetic slitvalve is also disclosed.
    Type: Application
    Filed: February 25, 2013
    Publication date: August 28, 2014
    Applicant: VARIAN SEMICONDUCTOR EQUIPMENT ASSOCIATES, INC.
    Inventors: Robert Brent Vopat, Jeffrey Blahnik, Christopher Grant, William T. Weaver
  • Patent number: 8814488
    Abstract: A substrate processing apparatus comprises a storage container for storing multiple substrates and whose substrate loading and unloading opening is sealed by a lid, a load port for placing the storage container, an attaching and detaching device for attaching and detaching the lid on the substrate loading and unloading opening in the load port, a first placement unit for mounting the storage container in the load port and moving away from and near the attaching and detaching device, and a second placement unit provided separately from the first placement unit, for mounting the storage container in the load port and moving up and down relative to the attaching and detaching device.
    Type: Grant
    Filed: April 1, 2008
    Date of Patent: August 26, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventor: Yukinori Aburatani
  • Publication number: 20140234057
    Abstract: Provided are apparatus and methods for simultaneously swapping a processed wafer with an unprocessed wafer. A robot with a rotatable stage, a first blade assembly and second blade assembly extends both assemblies at the same time in opposite directions to pick up both a processed and unprocessed wafer. Rotation of the robot allows the unprocessed wafer to be placed in the position previously occupied by the processed wafer and vice versa.
    Type: Application
    Filed: February 14, 2014
    Publication date: August 21, 2014
    Inventor: Jacob Newman
  • Patent number: 8807914
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: October 7, 2008
    Date of Patent: August 19, 2014
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 8796589
    Abstract: In a first aspect, a first substrate processing system is provided that includes (1) a chamber having a plurality of opening through which a substrate may be transported; (2) a substrate carrier opener coupled to a first one of the plurality of openings; (3) a thermal processing chamber coupled to a second one of the plurality of openings; and (4) a wafer handler contained within the chamber, having a substrate clamping blade and a blade adapted to transport high temperature substrates.
    Type: Grant
    Filed: July 13, 2002
    Date of Patent: August 5, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Efrain Quiles, Mehran Behdjat, Robert B. Lowrance, Michael Robert Rice, Brent Vopat
  • Patent number: 8777553
    Abstract: Adverse effects when a carrier is open, such as particles adhesion to the substrate or natural oxidation film deposits on the substrate, as well as a rise in oxygen concentration and contamination of the substrate transfer chamber are prevented. Semiconductor manufacturing apparatus includes a carrier in which a cover unit is provided on a substrate loading/unloading opening for loading and unloading a substrate, a carrier open/close chamber continuously arranged to the carrier, a substrate transfer chamber continuously arranged to the carrier open/close chamber, a substrate processing chamber continuously arranged to the substrate transfer chamber, an exhaust means for exhausting the atmosphere in the carrier open/close chamber by suction, and an exhaust quantity adjuster means for adjusting the suction exhaust quantity of the exhaust means.
    Type: Grant
    Filed: June 26, 2012
    Date of Patent: July 15, 2014
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Makoto Hirano, Akinari Hayashi, Makoto Tsuri, Haruyuki Miyata
  • Patent number: 8777547
    Abstract: A substrate transporting robot apparatus is disclosed which is adapted to transport a substrate to and from a chamber of an electronic device processing system. The apparatus may include an upper arm rotatable in an X-Y plane, a forearm rotatable relative to the upper arm in the X-Y plane, and a wrist member rotatable relative to the forearm in the X-Y plane, the wrist member including an end effector adapted to carry a substrate. The wrist member may be subjected to independent rotation such that various degrees of yaw may be imparted to the wrist member. In some aspects, the independent rotation is provided without a motive power device (e.g., motor) being provided on the arms or wrist member, i.e., the wrist member may be remotely driven. Systems and methods using the robot apparatus are also provided as are numerous other aspects.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: July 15, 2014
    Assignee: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Publication number: 20140190513
    Abstract: Provided is a substrate treatment apparatus. The substrate treatment apparatus includes a load port on which a carrier accommodating a plurality of substrates to which a back-ground wafer is attached to a mounting tape fixed to a frame ring is placed, a plasma treatment unit supplying plasma to treat a top surface of the wafer, and a substrate transfer unit transferring the substrate between the carrier and the plasma treatment unit.
    Type: Application
    Filed: January 2, 2014
    Publication date: July 10, 2014
    Inventors: Jongjin Lee, Bum Joon Park, Tae Hoon Kim, Chang Weon Lee, Sunwoong Yim, Han Kyu Lee
  • Publication number: 20140140792
    Abstract: One or more techniques or systems for ultra-high vacuum (UHV) wafer processing are provided herein. In some embodiments, a vacuum system includes one or more cluster tools connected via one or more bridges. For example, a first cluster tool is connected to a first bridge. Additionally, a second cluster tool is connected to a second bridge. In some embodiments, the first bridge is configured to connect the second cluster tool to the first cluster tool. In some embodiments, the second cluster tool is connected to the first bridge, thus forming a ‘tunnel’. In some embodiments, the second bridge comprises one or more facets configured to enable a connection to an additional process chamber or an additional cluster tool. In this manner, a more efficient UHV environment is provided, thus enhancing a yield associated with wafer processing, for example.
    Type: Application
    Filed: November 16, 2012
    Publication date: May 22, 2014
    Applicant: Taiwan Semiconductor Manufacturing Company Limited
    Inventors: Chung-En Kao, Tien-Chen Hu, Mao-Lin Kao, Kuo-Fu Chien, Keith Koai
  • Publication number: 20140112739
    Abstract: A substrate processing apparatus includes a processing vessel configured to process a substrate; a first purging part configured to perform a first purge to supply inert gas at a first flow rate into a substrate container accommodating the substrate; and a second purging part configured to perform a second purge to supply inert gas at a second flow rate into the substrate container, the second flow rate being lower than the first flow rate.
    Type: Application
    Filed: September 30, 2013
    Publication date: April 24, 2014
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Makoto HIRANO, Akinari HAYASHI
  • Patent number: 8701307
    Abstract: A method for improving the manufacture and reliability of new, remanufactured, repaired or reconditioned Fire Control Radar APG-68 tactical radar systems (FCR) utilized in military aircraft and providing such units with extended useful life expectancies equivalent to or better than new of the FCR APG-68 unit high frequency, high voltage dual mode radar transmitters that are deployed in over 1000 state-of-the-art military aircraft such as the F-15, F-16 and F-18 fighter aircraft, and B-1 bombers. The novel method extends the mean lifetime of previously repaired and repairable FCR APG-68 tactical radar units and radar units and ageing transmitters from about 100 to a few hundred hours to about five hundred or more hours by the process of removing embedded moisture and absorbed moisture from the heterogeneous electronic components and preferably also removing contaminants from the heat transfer surfaces of the cold plates and heat exchangers in the FCR APG-68 tactical radar unit.
    Type: Grant
    Filed: November 29, 2012
    Date of Patent: April 22, 2014
    Inventors: Howard C. Slack, Clare L Milton, Andrew F. Slack
  • Patent number: 8696298
    Abstract: A variety of process modules are described for use in semiconductor manufacturing processes.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: April 15, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Publication number: 20140099176
    Abstract: A semiconductor processing apparatus is provided, which includes processing chambers coupled together by transport mechanisms having transfer robots. After having completed wafer processing in each processing chamber, the allowable value of a time permitted for a processing-completed wafer to continue residing within the processing chamber is set up. Then, a time consumed up to the completion of transportation of a wafer scheduled to be next processed is estimated, thereby controlling a transfer robot in a way such that, when the estimated transfer time exceeds the allowable value of the waiting time, priority is given to an operation for unloading a processed wafer from the processing chamber insofar as the processed wafer's transfer destination is already in its state capable of accepting such wafer.
    Type: Application
    Filed: September 11, 2013
    Publication date: April 10, 2014
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventors: Keita NOGI, Teruo NAKATA, Kenji TAMAI, Michinori KAWAGUCHI, Yoshiro SUEMITSU
  • Patent number: 8690516
    Abstract: Provided is a method of adjusting a velocity of a transfer arm in a transfer member. The method includes, accelerating the transfer arm from a start point to a first point where a movement velocity reaches a preset reference velocity, dividing a division from the first point to a second point into movement divisions to move the transfer arm in any one of a deceleration motion, an acceleration motion, and a uniform motion according to the respective movement divisions, and decelerating the transfer arm from the second point to a target point. The motion of the transfer arm in the current movement division is different from that in the movement division just before the current movement division. Thus, a different impulse from that in the precedent movement division is applied to a substrate loaded on the transfer arm. Accordingly, the impulse response superposition cancels residual vibration of the substrate, so as to improve transfer efficiency of the transfer member.
    Type: Grant
    Filed: April 6, 2009
    Date of Patent: April 8, 2014
    Assignee: Semes Co., Ltd.
    Inventors: Kwang-Jin Hong, Sang-Eun Jun
  • Patent number: 8691050
    Abstract: Continuous transfer of particulate material into pressurized steam reactors is provided by “flow feeder” methods and devices. Material such as lignocellulosic biomass feedstocks are compacted into a “low density” plug, <700 kg/m3, which provides a dynamic seal against pressurized steam through exploitation of a steam condensation zone. The rate at which the steam condensation zone moves into the “low density” plug is offset by the rate at which compacted material is fed into the pressurized reactor. Preferred devices compact material within a flow feeder chamber by use of a loading device that works against counter-pressure provided by an unloading device. Compacted material is actively disintegrated and fed into the reactor by the unloading device. In preferred embodiments, compacted material is fed in a steady-state operation in which the interface between the steam condensation zone and the low pressure inlet zone remains stationary within the flow feeder chamber.
    Type: Grant
    Filed: November 23, 2009
    Date of Patent: April 8, 2014
    Inventor: Børge Holm Christensen