Of Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/805)
  • Publication number: 20140086720
    Abstract: A semiconductor processing station is provided. The semiconductor processing station includes a first platform, a second platform and a vacuum tunnel, wherein the first platform has a first load lock and a first plurality of chambers, and the second platform has a second load lock and a second plurality of chambers, and the vacuum tunnel connects the first and the second load locks.
    Type: Application
    Filed: September 27, 2012
    Publication date: March 27, 2014
    Applicant: Taiwan Semiconductor Manufaturing Company, Ltd.
    Inventors: Mao-Lin KAO, Hsu-Shui Liu, Tien-Chen Hu, Li-Jen Ko, Hsiang-Yin Shen, Jiun-Rong Pai
  • Publication number: 20140079514
    Abstract: Methods and apparatus for transferring one or more substrates from a first pressure environment to a second pressure environment is provided. In one embodiment, a load lock chamber is provided. The load lock chamber comprises a first circular housing, and a second circular housing disposed within and movable relative to the first circular housing, one of the first circular housing or the second circular housing comprising a plurality of discrete regions, wherein at least a portion of the plurality of discrete regions are in selective fluid communication with one of at least two vacuum pumps based on the angular position of the second circular housing relative to the first circular housing.
    Type: Application
    Filed: September 17, 2013
    Publication date: March 20, 2014
    Inventors: Alexander S. POLYAK, James L'HEUREUX, Christopher T. LANE, Susanne SCHLAEFER, Juergen HENRICH, Josef Thomas HOOG, Calvin R. AUGASON
  • Patent number: 8672605
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: March 18, 2014
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Publication number: 20140072397
    Abstract: Semiconductor processing equipment. At least some of the illustrative embodiments are systems including: a front end robot configured to pull individual wafers from at least one wafer carrier; a linear robot in operational relationship to the front end robot, the linear robot configured to move wafers along an extended length path; and a first processing cluster in operational relationship to the linear robot. The first processing cluster may include: a first processing chamber; a second processing chamber; and a first cluster robot disposed between the first and second processing chambers. The first cluster robot is configured to transfer wafers from the linear robot to the processing chambers, and configured to transfer wafers from the processing chambers to the linear robot.
    Type: Application
    Filed: September 12, 2012
    Publication date: March 13, 2014
    Applicant: LAM RESEARCH CORPORATION
    Inventor: Benjamin W. MOORING
  • Publication number: 20140044502
    Abstract: In a vacuum processing apparatus including a plurality of vacuum transfer vessels arranged back and forth at the back of a lock chamber, an intermediate chamber arranged between them and capable of accommodating wafers, and processing units connected to respective vacuum transfer vessels, a wafer processed in a pre-processing vessel out of the processing units connected to the respective vacuum transfer vessels is transferred to a post-processing vessel connected to the same vacuum transfer vessel and post-processing is performed.
    Type: Application
    Filed: September 7, 2012
    Publication date: February 13, 2014
    Inventors: Takashi UEMURA, Hideaki Kondo, Masakazu Isozaki, Takahiro Shimomura
  • Publication number: 20140044504
    Abstract: A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.
    Type: Application
    Filed: October 21, 2013
    Publication date: February 13, 2014
    Applicant: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Alexander Krupyshev, Ulysses Gilchrist
  • Patent number: 8641351
    Abstract: A robot control unit that turns off a holding unit for a plate member, while the plate member is placed on an end effector, and lower the end effector, by a predetermined distance, from an initial position higher than a preset temporary placing position toward the temporary placing position. Then the robot control unit switches the holding member, from an OFF state to an ON state, while the end effector is stopped, and determines whether the plate member is held by the holding unit, with a holding-state detection unit. When the plate member is held by the holding unit, the robot control unit turns off the holding unit while further lowering the end effector by the predetermined distance. When the plate member is not held by the holding unit, the robot control unit detects a position of the end effector at this point of time, as a normal placing position.
    Type: Grant
    Filed: July 10, 2008
    Date of Patent: February 4, 2014
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Nobuyasu Shimomura
  • Publication number: 20140003892
    Abstract: A substrate processing device includes a depressurizable hot wall chamber having a sidewall with a temperature which becomes higher than room temperature and a first substrate transferring port provided in the sidewall, a depressurizable transfer chamber having a transfer arm mechanism and a second substrate transferring port, and a gate valve unit provided between the hot wall chamber and the transfer chamber. The gate valve unit includes: a housing having a sidewall provided with communicating holes, a first housing substrate transferring port, and a second housing substrate transferring port; a valve body which is elevatable in the housing; and a double sealing structure having a first sealing member and a second sealing member provided at an outer side of the first sealing member. The communicating holes communicate a gap between the first sealing member and the second sealing member with an internal space of the housing.
    Type: Application
    Filed: March 6, 2012
    Publication date: January 2, 2014
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Kaoru Yamamoto, Masamichi Hara, Tetsuya Miyashita
  • Patent number: 8602716
    Abstract: A method is provided where the method includes configuring a plurality of robots so that a wafer can be handed off between neighboring robots, and disposing a plurality of sensors so that a robotic arm-relative position of a wafer that is transported by a robot is determined from sensor outputs by moving the wafer through a retract, rotate, and extend path.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: December 10, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese
  • Publication number: 20130322990
    Abstract: A wafer handling system with apparatus for transporting wafers between semiconductor fabrication tools. In one embodiment, the apparatus is a loadport bridge mechanism including an enclosure having first and second mounting ends, a docking port at each end configured and dimensioned to interface with a loadport of a semiconductor tool, and at least one wafer transport robot operable to transport a wafer between the docking ports. The wafer transport robot hands off or receives a wafer to/from a tool robot at the loadports of a first and second tool. The bridge mechanism allows one or more wafers to be transferred between loadports of different tools on an individual basis without reliance on the FAB's automated material handling system (AMHS) for bulk wafer transport inside a wafer carrier such as a FOUP or others.
    Type: Application
    Filed: June 1, 2012
    Publication date: December 5, 2013
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Shih-Hung CHEN, Ying XIAO, Chin-Hsiang LIN
  • Patent number: 8591163
    Abstract: To ensure that an abnormality in a closed state of a FOUP door serving as a lid of a FOUP can be detected quickly and reliably, a FOUP opener includes a port door attached detachably to an opening window in a port plate for separating the interior and exterior of a semiconductor processing device, and a dock unit for positioning the FOUP in a FOUP door attachment/detachment position. A suction disc mechanism and a lock mechanism for fixing the FOUP door to a FOUP main body and releasing the FOUP door are disposed on the port door. A FOUP door detection sensor for determining whether or not the FOUP door fixed to the FOUP main body, which is positioned in the FOUP door attachment/detachment position on the dock unit, is attached correctly to an opening portion of the FOUP main body is disposed on the port plate.
    Type: Grant
    Filed: February 4, 2011
    Date of Patent: November 26, 2013
    Assignee: Hirata Corporation
    Inventors: Noriyoshi Toyoda, Hirofumi Nakamura
  • Publication number: 20130294678
    Abstract: A method for auto-sequencing of plasma processing system for concurrent processing of several substrates. The method autonomously sequence processing and move substrates in different directions as necessary. The method moves two substrate trays together into the processing chamber for substrate exchange, and remove the trays from the chamber one at a time. When needed, the method moves one tray into the processing chamber for removal of the susceptor without exposing the chamber to atmospheric environment.
    Type: Application
    Filed: May 20, 2013
    Publication date: November 7, 2013
    Applicant: Orbotech LT Solar, LLC.
    Inventors: Wendell Thomas Blonigan, Masato Toshima, Kam S. Law, David Eric Berkstresser, Steve Kleinke, Craig Lyle Stevens
  • Publication number: 20130287527
    Abstract: A vacuum treatment apparatus and method for manufacturing has a plurality of treatment chambers for treating workpieces, in particular silicon wafers, a transfer chamber attached to the treatment chambers communicating via respective openings and having handling zones located adjacent to each of the treatment chambers. A workpiece carrier is arranged within the transfer chamber and configured to transfer the workpieces between the handling zones, and one or more handlers for moving the workpieces between the handling zones and the treatment chambers. The transfer chamber is ring-shaped about an axis and the openings have opening substantially parallel thereto. This way, forces on the transfer chamber are redirected to a large support structure and thus, a cost-effective, light and still rigid mechanical construction can be achieved.
    Type: Application
    Filed: December 27, 2011
    Publication date: October 31, 2013
    Applicant: OC OERLIKON BALZERS AG
    Inventors: Bart Scholte Von Mast, Wolfgang Rietzler, Rogier Lodder, Rolf Bazlen, Daniel Rohrer
  • Publication number: 20130272823
    Abstract: Electronic device processing systems and robot apparatus are described. The systems and apparatus are adapted to efficiently pick or place substrates into twin chambers by having independently rotatable first and second booms, and independently rotatable first and second upper arms, wherein each upper arm has a forearm, a wrist member, and an end effector adapted to carry a substrate coupled thereto. The boom members and upper arms are driven through co-axial drive shafts in some embodiments. Co-axial and non-coaxial drive motors are disclosed. Methods of operating the robot apparatus and processing systems are provided, as are numerous other aspects.
    Type: Application
    Filed: April 2, 2013
    Publication date: October 17, 2013
    Applicant: Applied Materials, Inc.
    Inventors: Jeffrey C. Hudgens, Izya Kremerman
  • Publication number: 20130239879
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Application
    Filed: May 8, 2013
    Publication date: September 19, 2013
    Applicant: ASM AMERICA, INC.
    Inventors: Ravinder K. Aggarwal, Jeroen Stoutjesdijk, Eric R. Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20130243550
    Abstract: Provided are a substrate processing apparatus, a method of processing a substrate, a method of manufacturing a semiconductor device, and a non-transitory computer readable recording medium storing a program for performing the method of manufacturing the semiconductor device, that are capable of improving manufacturing throughput of the apparatus. The apparatus includes a substrate to be processed, a transfer chamber under a vacuum atmosphere, a substrate transfer unit installed at the transfer chamber and configured to transfer the substrate, at least two process chambers installed near the transfer chamber and configured to process the substrate, at least two gate valves installed between the transfer chamber and the at least two process chambers, and a control unit configured to control the substrate transfer unit and the at least two gate valves, wherein the control unit opens and closes the at least two gate valves while the substrate transfer unit transfers the substrate.
    Type: Application
    Filed: March 14, 2013
    Publication date: September 19, 2013
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Takeshi Yasui, Naoya Matsuura, Mitsuru Fukuda, Hiroyuki Ogawa
  • Publication number: 20130243560
    Abstract: A locking gate device suitable for selectively blocking the flow of fluid and/or articles through a pair of openings defined by two spaced-apart members is provided. The spaced-apart members may be opposing walls or flanges of two adjacent processing chambers or vessels in a pressurized heating system. The locking gate device includes a gate assembly that is movable within a gate-receiving space defined between opposed sealing surfaces of the spaced-apart members. The gate assembly comprises a pair of sealing plates and a drive member shiftable relative to the sealing plates. As the drive member is shifted between a retracted position and an extended position, a pair of bearings disposed between the sealing plates and the drive member forces the sealing plates outwardly to contact the sealing surfaces of the spaced-apart members. This substantially blocks the flow-through openings defined by one or both sealing surfaces and restricts flow therethrough.
    Type: Application
    Filed: March 13, 2013
    Publication date: September 19, 2013
    Applicant: MICROWAVE MATERIALS TECHNOLOGIES, INC.
    Inventors: Harold Dail Kimrey, Jr., Gregory Eugene Cunningham
  • Patent number: 8529314
    Abstract: When both surfaces of a wafer are sequentially subjected to processing, the wafer is made to be surely supported so that a predetermined processing and transporting can be performed. On one surface of the wafer a first fixing jig (3a) is fixed in close contact. After having performed a predetermined processing to the opposite surface of the wafer, a second fixing jig (3b) is fixed in close contact with the opposite surface of the wafer. The first fixing jig (3a) is removed and the wafer is handed over to the second fixing jig (3b). Each of the fixing jigs is made up of a jig main body (31), and a close contact layer (32) which is disposed on one surface thereof. The jig main body has a plurality of supporting projections (33) to support the close contact layer, and a side wall (34). The close contact layer is adhered to an end surface of the side wall to thereby define a partitioned space (35) enclosed by the side wall, between the close contact layer and the jig main body.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: September 10, 2013
    Assignees: Lintec Corporation, Shin-Etsu Polymer Co., Ltd.
    Inventors: Takeshi Segawa, Kiyofumi Tanaka
  • Publication number: 20130230370
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A linear transport chamber includes linear tracks and robot arms riding on the linear tracks to linearly transfer substrates along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers. A four-axis robot arm is disclosed, capable of linear translation, rotation and articulation, and z-motion.
    Type: Application
    Filed: April 12, 2013
    Publication date: September 5, 2013
    Applicant: Brooks Automation, Inc.
    Inventors: Gee Sun HOEY, Terry BLUCK, Hoang Huy VU, Jimin RYU
  • Publication number: 20130226335
    Abstract: An automated cassette-to-cassette substrate handling system includes a cassette storage module for storing a plurality of substrates in cassettes before and after processing. A substrate carrier storage module stores a plurality of substrate carriers. A substrate carrier loading/unloading module loads substrates from the cassette storage module onto the plurality of substrate carriers and unloads substrates from the plurality of substrate carriers to the cassette storage module. A transport mechanism transports the plurality of substrates between the cassette storage module and the plurality of substrate carriers and transports the plurality of substrate carriers between the substrate carrier loading/unloading module and a processing chamber. A vision system recognizes recesses in the plurality of substrate carriers corresponding to empty substrate positions in the substrate carrier.
    Type: Application
    Filed: February 27, 2012
    Publication date: August 29, 2013
    Applicant: VEECO INSTRUMENTS INC.
    Inventors: Joseph Arthur Kraus, Jeremy James Boyer, Michael DeChellis, Michael Koo
  • Patent number: 8512439
    Abstract: The present invention proposes a method for feeding a burden to a blast furnace (32), wherein the method comprises providing a charging device (38) having at least one material hopper (40), the material hopper (40) comprising a hopper chamber (42), a material inlet aperture for feeding a burden into the hopper chamber (40), and a material discharge aperture for feeding a burden from the hopper chamber (40) to the blast furnace (32); the material inlet aperture having an associated inlet seal valve 44) for opening and closing the material inlet aperture and the material discharge aperture having an associated material discharge valve (46) for opening and closing the material discharge aperture.
    Type: Grant
    Filed: April 22, 2010
    Date of Patent: August 20, 2013
    Assignee: Paul Wurth S.A.
    Inventors: Jeannot Loutsch, Jean-Paul Simoes, Lionel Hausemer
  • Patent number: 8505212
    Abstract: A method for improving the manufacture and reliability of new, remanufactured, repaired or reconditioned Fire Control Radar APG-68 tactical radar systems (FCR) utilized in military aircraft and providing such units with extended useful life expectancies equivalent to or better than new of the FCR APG-68 unit high frequency, high voltage dual mode radar transmitters that are deployed in over 1000 state-of-the-art military aircraft such as the F-15, F-16 and F-18 fighter aircraft, and B-1 bombers. The novel method extends the mean lifetime of previously repaired and repairable FCR APG-68 tactical radar units and radar units and ageing transmitters from about 100 to a few hundred hours to about five hundred or more hours by the step of removing embedded moisture and absorbed moisture from the heterogeneous electronic components in the FCR APG-68 tactical radar unit.
    Type: Grant
    Filed: November 14, 2011
    Date of Patent: August 13, 2013
    Assignee: Slack Associates, Inc.
    Inventors: Howard C. Slack, Clare L. Milton
  • Publication number: 20130202387
    Abstract: A substrate processing system is provided with: a first transfer unit, which extends from a loader module to a first processing chamber for processing substrates, to transfer the substrates; and a second transfer unit, which is provided below or above the first transfer unit and extends from the loader module to a second processing chamber for processing substrates, to transfer the substrates. The first processing chamber and the second processing chamber do not overlap in the vertical direction, and are disposed at positions separated from each other in a plan view. At the same time, at least a part of the first transfer unit and at least a part of the second transfer unit overlap each other in the vertical direction.
    Type: Application
    Filed: August 3, 2011
    Publication date: August 8, 2013
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Tsutomu Hiroki
  • Patent number: 8500382
    Abstract: An airflow management system and/or method used in particle abatement in semiconductor manufacturing equipment. In particular, the apparatus disclosed is capable of creating and managing a carefully controlled particle free environment for the handling of semiconductor wafers or similar articles. The apparatus is particularly suited to be used as an interface between an equipment front end module (EFEM) and a vacuum loadlock chamber or other such article of process equipment. The apparatus also enables relative motion between enclosures while maintaining a particle free environment utilizing a moving air diffuser mounted to an interface panel.
    Type: Grant
    Filed: May 22, 2007
    Date of Patent: August 6, 2013
    Assignee: Axcelis Technologies Inc.
    Inventors: James S. Castantini, Tent-Chao D. Tao, Erin M. Madden, Donald N. Polner
  • Patent number: 8500388
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 4, 2008
    Date of Patent: August 6, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Patent number: 8491252
    Abstract: A transport method for disk-shaped semiconductor wafer workpieces has a horizontally movable transport arm with two elongated carrying elements at one end, for receiving the workpiece. A cassette which includes a comb structure at each side for receiving several workpieces, is inserted free of contact between two adjacent combs with workpieces therein for vertically lifting a workpiece. The carrying elements are disposed such that during a cassette engagement they are each positioned substantially adjacent and parallel to the comb structure along a comb, and in this region along and between two adjacent comb planes on one side of the cassette, a scanning beam is provided for workpiece acquisition. The scanning beam is relatively height-positionable with respect to the cassette and is tilted about a small angle with respect to the horizontal workpiece plane.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: July 23, 2013
    Assignee: OC Oerlikon Balzers Ltd.
    Inventors: Bart Scholte Van Mast, Holger Christ
  • Patent number: 8491248
    Abstract: Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.
    Type: Grant
    Filed: September 9, 2011
    Date of Patent: July 23, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Chris Gage, Shawn Hamilton, Sheldon Templeton, Keith Wood, Damon Genetti
  • Patent number: 8485771
    Abstract: In a load port apparatus, a door driving mechanism that supports a door through a communication opening portion leading to a mini-environment is housed in a housing chamber that is in communication with the mini-environment through the communication opening portion. Exhaust opening portions are provided in the upper portion of a wall of the housing chamber that is opposed to the communication opening portion and a bottom wall of the housing chamber. Thus, gas passages from the mini-environment to the housing chamber and then to the external space are formed. Thus, dust in the load port apparatus is removed.
    Type: Grant
    Filed: September 13, 2010
    Date of Patent: July 16, 2013
    Assignee: TDK Corporation
    Inventors: Mutsuo Sasaki, Hitoshi Suzuki, Koichiro Oikawa
  • Patent number: 8480805
    Abstract: A system and method for movably sealing a vapor deposition source is described. One embodiment includes a system for coating a substrate, the system comprising a deposition chamber; a vapor pocket located within the deposition chamber; and an at least one movable seal, wherein the at least one movable seal is configured to form a first seal with a first portion of a substrate, and wherein the first seal is configured to prevent a vapor from leaking past the first portion of the substrate out of the vapor pocket. In some embodiments, the movable seal may comprise a first flange, wherein the first flange forms a wall of the vapor pocket; and a second flange, wherein the second flange is configured to be movably disposed within a first groove of the source block.
    Type: Grant
    Filed: April 16, 2010
    Date of Patent: July 9, 2013
    Assignee: Colorado State University Research Foundation
    Inventors: Robert A. Enzenroth, Joseph D. LoBue, Lawrence J. Knipp
  • Patent number: 8459922
    Abstract: A substrate processing system including a housing for housing at least part of a processing device, at least one target affixed to the processing device, the processing device having a first processing device reference point in a known relationship with the at least one target, at least one transmitter located within the housing and configured to transmit an identification signal identifying the at least one transmitter to the at least one target and a controller operably connected to the at least one target and the at least one transmitter, the controller being configured to receive data signals, based on the identification signal, from one of the at least one target and the at least one transmitter and control an operational characteristic of the processing device, based on the data signals.
    Type: Grant
    Filed: December 31, 2009
    Date of Patent: June 11, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Martin Hosek
  • Publication number: 20130142594
    Abstract: An apparatus comprises a process chamber, and a loadlock connected to the process chamber. The loadlock is configured to have a wafer holder disposed therein. The wafer holder is configured to store a plurality of wafers, and is configured to transport the plurality of wafers away from the loadlock.
    Type: Application
    Filed: December 1, 2011
    Publication date: June 6, 2013
    Applicant: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Shao-Yen Ku, Ming-Jung Chen, Tsu-Yang Chung, Chi-Yun Tseng, Jui-Ping Chuang
  • Patent number: 8454294
    Abstract: Apparatuses and methods for cooling and transferring wafers from low pressure environment to high pressure environment are provided. An apparatus may include a cooling pedestal and a set of supports for holding the wafer above the cooling pedestal. The average gap between the wafer and the cooling pedestal may be no greater than about 0.010 inches. Venting gases may be used to increase the pressure inside the apparatus during the transfer. In certain embodiment, venting gases comprise nitrogen.
    Type: Grant
    Filed: September 7, 2011
    Date of Patent: June 4, 2013
    Assignee: Novellus Systems, Inc.
    Inventors: Christopher Gage, Charles E. Pomeroy, David Cohen, Nagarajan Kalyanasundaram
  • Patent number: 8439623
    Abstract: Methods and systems are provided for handling materials, including materials used in semiconductor manufacturing systems. The methods and systems include linear semiconductor processing facilities for vacuum-based semiconductor processing and handling, as well as linkable or extensible semiconductor processing facilities that can be flexibly configured to meet a variety of constraints.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: May 14, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Publication number: 20130115028
    Abstract: Substrate transport systems and robot apparatus are described. The systems are adapted to efficiently pick or place a substrate at a destination by independently rotating an upper arm, a forearm, and dual wrist members relative to each other and a base. Methods of operating the robot apparatus are provided, as are numerous other aspects.
    Type: Application
    Filed: October 29, 2012
    Publication date: May 9, 2013
    Applicant: APPLIED MATERIALS, INC.
    Inventor: Applied Materials, Inc.
  • Patent number: 8434989
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Grant
    Filed: February 14, 2008
    Date of Patent: May 7, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 8434993
    Abstract: A robot apparatus according to the invention is configured to hand over a workpiece by rotating by a prescribed angle a finger including a holding means for holding the workpiece. The robot apparatus includes: a drive shaft including a first finger and a second finger spaced from each other. The first finger includes a first arm portion and a second arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second finger includes a third arm portion and a fourth arm portion extending from its rotation center with a prescribed angle therebetween so as to be distanced from each other. The second arm portion and the fourth arm portion are distanced from each other when the first arm portion and the third arm portion overlap in the axial direction of the drive shaft. The robot apparatus can further improve productivity without incurring size increase and high cost.
    Type: Grant
    Filed: November 30, 2007
    Date of Patent: May 7, 2013
    Assignee: Shibaura-Mechatronics Corporation
    Inventors: Hidehito Azumano, Masahiro Tanabe
  • Publication number: 20130108400
    Abstract: Transportation control in a vacuum processing device with high transportation efficiency without lowering throughput is provided. A control unit is configured to update in real time and holds device state information showing an action state of each of a process chamber, a transportation mechanism unit, a buffer room, and a holding mechanism unit, the presence of a process subject member, and a process state thereof; select a transport algorithm from among transport algorithm judgment rules that are obtained by simulating in advance a plurality of transport algorithms for controlling transportation of a process subject member for each condition of a combination of the number and arrangement of the process chambers and process time of a process subject member based on the device state information and process time of the process subject member; and compute a transport destination of the process subject member based on the selected transport algorithm.
    Type: Application
    Filed: October 2, 2012
    Publication date: May 2, 2013
    Applicant: HITACHI HIGH-TECHNOLOGIES CORPORATION
    Inventor: Hitachi High-Technologies Corporation
  • Publication number: 20130108401
    Abstract: One embodiment of this workpiece handling system has conveyor belts and a load lock. A first swap robot holds and transports workpieces between a build station and the load lock. A gantry robot transports the workpieces between each of the conveyor belts and the first swap robot. In one instance, processed workpieces are transported from the first swap robot to a first conveyor belt and unprocessed workpieces are transported from a second conveyor belt to the first swap robot using the gantry robot. A second swap robot also may be used with the first swap robot to load and unload workpieces from the load lock.
    Type: Application
    Filed: October 23, 2012
    Publication date: May 2, 2013
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Varian Semiconductor Equipment Associates, Inc.
  • Publication number: 20130101372
    Abstract: A device for processing wafer-shaped articles comprises a closed process chamber. The closed process chamber has a side wall, a holder located within the closed process chamber adapted to receive a wafer shaped article, and a door for loading and unloading a wafer shaped article into and from the closed process chamber. The door in a first position blocks an opening in the side wall of the chamber and seals against an interior surface of the side wall of the chamber. The door is connected to an exterior of the chamber via a linkage that guides the door in a nonlinear translational movement between the first position and a second position in which the door is positioned interiorly of the chamber so as to permit loading and unloading of a wafer shaped article through the opening.
    Type: Application
    Filed: October 19, 2011
    Publication date: April 25, 2013
    Applicant: LAM RESEARCH AG
    Inventors: Ulrich TSCHINDERLE, Andreas GLEISSNER, Thomas WIRNSBERGER, Rainer OBWEGER
  • Publication number: 20130051957
    Abstract: A substrate processing system and substrate transferring method is capable of improving substrate-transferring efficiency by transferring a substrate bi-directionally through a substrate transferring device between two rows of processing chambers, and transferring the substrate to a precise position by rotating the substrate transferring device. The processing system includes a transfer chamber, a bi-directional substrate transferring device; and processing chambers which apply a semiconductor-manufacturing process to the substrate. The processing chambers are linearly arranged along two confronting rows, and the transfer chamber is between the two rows of processing chambers.
    Type: Application
    Filed: February 17, 2011
    Publication date: February 28, 2013
    Inventors: Kyoo Hwan Lee, Deck Won Moon, Jae Hwan Jang
  • Patent number: 8382088
    Abstract: A substrate processing apparatus is disclosed for bringing a substrate from a carrier, by a substrate transfer portion inside a transfer chamber, into a processing module to perform a process therein. The substrate processing apparatus includes a substrate storing chamber coupled to an exterior of the transfer chamber via a transfer opening to be in communications with the transfer chamber; a first storing shelf in the substrate storing chamber to store substrates for a first storing purpose; a second storing shelf in the substrate storing chamber to store substrates for a second storing purpose different from the first storing purpose; and a shifting mechanism that shifts the first and the second storing shelves to position a substrate storing area of one of the first and the second storing shelves so that substrate transferring is enabled between the substrate storing area and the substrate transfer portion via the transfer opening.
    Type: Grant
    Filed: March 28, 2008
    Date of Patent: February 26, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Keisuke Kondoh
  • Publication number: 20130034421
    Abstract: A method of processing substrates in a lithography system unit, the lithography system unit comprising at least two substrate preparation units, a load lock unit comprising at least first and second substrate positions, and a substrate handling robot for transferring substrates between the substrate preparation units and the load lock unit. The method comprises providing a sequence of substrates to be exposed to the robot, including an Nth substrate, an N?1th substrate, and an N+1th substrate; transferring the Nth substrate to a first one of the substrate preparation units; clamping the Nth substrate on a first substrate support structure in the first substrate preparation unit to form a clamped Nth substrate; transferring the clamped Nth substrate from the first substrate preparation unit to an unoccupied one of the first and second positions in the load lock unit; and exposing the clamped Nth substrate in the lithography system unit.
    Type: Application
    Filed: April 30, 2012
    Publication date: February 7, 2013
    Applicant: MAPPER LITHOGRAPHY IP B.V.
    Inventors: Vincent Sylvester KUIPER, Erwin SLOT, Marcel Nicolaas Jacobus VAN KERVINCK, Guido DE BOER, Hendrik Jan DE JONG
  • Patent number: 8356968
    Abstract: Methods and systems are provided. The invention includes performing a handshake directly between a load port associated with process equipment and material handling equipment; and transferring a carrier between the material handling equipment and the load port based on the handshake. Numerous other aspects are provided.
    Type: Grant
    Filed: February 11, 2009
    Date of Patent: January 22, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Vinay K. Shah, Sushant S. Koshti
  • Patent number: 8348583
    Abstract: A loader is provided, which is disposed in a low cleanliness room along a border between the low cleanliness room and a high cleanliness room, for transporting a dust free article between an inside of a container receiving the dust free article and the high cleanliness room, comprising a movable stage for mounting the container; an opening portion through which the dust free article is transported between the container and the high cleanliness room; a door for opening and closing the opening portion; a unifying means for unifying a cover of the container and the door when the container approaches the door; and a driving apparatus for moving the cover and the door unified within the loader to open and close the opening portion and the container.
    Type: Grant
    Filed: December 21, 2001
    Date of Patent: January 8, 2013
    Assignee: Rorze Corporation
    Inventors: Toshiaki Fujii, Osamu Horita, Koji Ohyama, Toshiya Nakayama, Fumio Sakiya, Mineo Kinpara
  • Patent number: 8347915
    Abstract: A method of exhausting a gas in a chamber of a load-lock system having a first valve defining an opening for supplying a gas and a second valve defining an opening for conveyance of an article. The method includes a gas supplying step for supplying a gas heated by a heater into the chamber through the first valve, while the first valve and the second valve are kept open, a conveying step for conveying the article into the chamber while the second valve is kept open, and an exhausting step to be carried out after the gas supplying step and the conveying step are executed and the first and second valves are closed, to exhaust the gas inside the chamber while the first valve and the second valve are kept closed.
    Type: Grant
    Filed: August 22, 2007
    Date of Patent: January 8, 2013
    Assignee: Canon Kabushiki Kaisha
    Inventor: Kazuyuki Kasumi
  • Publication number: 20130004288
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Application
    Filed: September 10, 2012
    Publication date: January 3, 2013
    Applicant: ASM AMERICA, INC.
    Inventors: Joseph C. Reed, Eric J. Shero
  • Publication number: 20120321417
    Abstract: A system and method of transporting substrates includes a loadport system including a frame, an articulating arm, a mini environment and a tower substantially centered in the frame. The tower includes multiple motors, a first motor mechanically coupled to the mini environment for moving the mini environment vertically. A second motors mechanically coupled to the articulating arm for moving the articulating arm vertically. A tower enclosure is also included. The tower enclosure enclosing the motors separate from the mini environment.
    Type: Application
    Filed: May 4, 2012
    Publication date: December 20, 2012
    Inventors: Anthony C. Bonora, Richard Garcia
  • Publication number: 20120321434
    Abstract: Systems, apparatus and methods are disclosed for allowing electrical connection to a robot apparatus. In one aspect, an electrical coupling is adapted to provide electrical power to the robot apparatus in the vacuum chamber. The electrical coupling may include engaging electrical contacts. In some embodiments, at least one of the contacts may be suspended relative to a spring such that the engaging contacts do not rotate relative to each other during arm rotation of the robot. In other embodiments, inductively coupled coils are included. Numerous other aspects are provided.
    Type: Application
    Filed: August 22, 2012
    Publication date: December 20, 2012
    Applicant: APPLIED MATERIALS, INC.
    Inventors: William P. Laceky, Izya Kremerman
  • Publication number: 20120322015
    Abstract: Provided are an apparatus and method for treating a substrate, and more particularly, to a substrate treatment apparatus having a cluster structure and a substrate treatment method using the same. The apparatus for treating the substrate includes a load port on which a container for receiving the substrate is placed, a treatment module for treating the substrate, and a transfer module including a robot for transferring the substrate between the container and the treatment module. The treatment module includes a transfer chamber including a robot for transferring the substrate, a load lock chamber disposed between the transfer chamber and the transfer module, a first treatment chamber disposed spaced from the transfer module around the transfer chamber to perform a first treatment process, and a second treatment chamber disposed around the transfer chamber to perform a second treatment process.
    Type: Application
    Filed: May 31, 2012
    Publication date: December 20, 2012
    Inventor: Hyung Joon Kim
  • Patent number: 8313277
    Abstract: A variety of process modules are described for use in semiconductor manufacturing processes.
    Type: Grant
    Filed: October 23, 2007
    Date of Patent: November 20, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese