Of Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/805)
  • Publication number: 20090092466
    Abstract: A new apparatus for processing substrates is disclosed. A multi-level load lock chamber having four environmentally isolated chambers interfaces with a transfer chamber that has a robotic assembly. The robotic assembly has two arms that each can move horizontally as the robotic assembly rotates about its axis. The arms can reach into the isolated chambers of the load lock to receive substrates from the bottom isolated chambers, transport the substrates to process chambers, and then place the substrates in the upper chambers. The isolated chambers in the load lock chamber may have a pivotably attached lid that may be opened to access the inside of the isolated chambers.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 9, 2009
    Inventors: Robert B. Moore, Eric Ruhland, Satish Sundar, Mario David Silvetti
  • Publication number: 20090078374
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Application
    Filed: December 1, 2008
    Publication date: March 26, 2009
    Applicant: INTEVAC, INC.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Publication number: 20090081005
    Abstract: The present invention relates to an apparatus for transferring a substrate through a gate for loading and unloading is provided, and includes a transfer chamber having the gate for loading and unloading the substrate, a transfer system, which is able to move up and down in the transfer chamber and transfers the substrate by accessing each gate, an adjustment section for a gas down flow, which produces the gas down flow in the transfer chamber and is able to adjust a speed of the gas down flow, and a control section for controlling the speed of the gas down flow using the movement speed of the substrate.
    Type: Application
    Filed: September 24, 2008
    Publication date: March 26, 2009
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Motoki Miyasaka
  • Publication number: 20090067977
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Application
    Filed: October 7, 2008
    Publication date: March 12, 2009
    Applicant: EBARA CORPORATION
    Inventor: Hiroyuki Shinozaki
  • Publication number: 20090060688
    Abstract: A suction apparatus 1 holds a wafer W by performing vacuum-suctioning on the wafer W. The suction apparatus 1 comprises a suction substrate 2. The suction substrate 2, which is rigid, comprises a plurality of pin-like protrusions 2a formed so that the tip-end faces (upper surfaces) thereof are the same height. An elastic coating layer is coated by way of an undercoat layer 4 on the tip-end faces of the protrusions 2a. When the wafer W is suctioned, even if a foreign matter is interposed between the wafer W and the suction surface, because the foreign matter embeds itself into the coating layer 3, the planarization of the wafer W is improved. In addition, because the coating layer 3 can be made comparatively thinner, undulations in the wafer W can be reduced and, to that end, the planarization of the wafer W in the suctioned state can be improved.
    Type: Application
    Filed: February 17, 2006
    Publication date: March 5, 2009
    Inventor: Naoki Asada
  • Publication number: 20090060689
    Abstract: A substrate processing system includes a first load lock, a process chamber having a first opening to allow an exchange of a substrate between the first load lock and the first process chamber, first rollers in the process chamber; and second rollers in the first load lock, wherein the first rollers and the second rollers are configured to transport a substrate thereon through the first opening between the first load lock and the process chamber. The first rollers and the second rollers are not rotated by an active transport mechanism.
    Type: Application
    Filed: August 30, 2007
    Publication date: March 5, 2009
    Inventors: G. X. Guo, K. A. Wang
  • Publication number: 20090060702
    Abstract: In a semiconductor manufacturing apparatus including a processing chamber, means for supplying gas to the processing chamber, evacuating means for decompressing the processing chamber, a transport chamber, means for supplying gas to the transport chamber, and evacuating means for decompressing the transport chamber, the pressure in the processing chamber is 10 to 50 Pa, the pressure in the transport chamber is set to positive pressure to the processing chamber, the differential pressure between the processing chamber and the transport chamber is 10 Pa or less, and the flow rate of the gas supplied to the processing chamber is twice or more the flow rate of gas supplied to the transport chamber.
    Type: Application
    Filed: February 22, 2008
    Publication date: March 5, 2009
    Inventors: Hiroyuki Kobayashi, Kenji Maeda, Masaru Izawa
  • Publication number: 20090053034
    Abstract: A wafer transfer system, and method of controlling pressure in the system, includes a loadport for receiving a wafer container, a housing operably connected to the loadport, a wafer transfer mechanism for transferring a wafer between the wafer container and the housing, a wafer container sensor for detecting a presence of the wafer container on the loadport, a variable speed fan disposed in a first portion of the housing, a variable exhaust unit disposed in a second portion of the housing facing the first portion, the variable exhaust unit being capable of varying an exhaust rate of air from the housing, and a controller for variably operating the variable speed fan and the variable exhaust unit in response to a signal from the wafer container sensor.
    Type: Application
    Filed: October 17, 2008
    Publication date: February 26, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Soo-woong Lee, Hyung-seok Choi, Jung-sung Hwang, Sung-hwan Choi
  • Publication number: 20090053017
    Abstract: A system for storage and maintenance of semiconductor wafers or reticles under fabrication between process steps of the fabrication. The system is configured as either a stocker, an overhead transport system (OHT) or an overhead buffer (OHB with gas-purge ports which mechanically mate with a standard receptacle of a wafer/reticle carrier. A control circuit is attached to the gas-purge ports which controls gas flow into the carrier through gas purge port said Control is performed even in the absence of a communications network attached to the control circuit.
    Type: Application
    Filed: March 18, 2007
    Publication date: February 26, 2009
    Inventor: Shlomo Shmuelov
  • Publication number: 20090035100
    Abstract: A partial pressure of oxidizing gas in an opened state FOUP fixed in a FIMS system is reduced when the FOUP is closed. Purge gas supply nozzles are placed outside of two vertical sides of an opening portion (10) within the FIMS. A curtain nozzle is additionally installed which can form a gas curtain from purge gas above the top side of the opening portion (10). When a pod (2) is closed with a lid (4), a door opening/closing mechanism keeps the lid (4) at a given angle with respect to the flowing direction of curtain gas for a given period of time, and hence purge gas supplied to the gas curtain is additionally put into use for the purging of the interior of the pod by the lid 4.
    Type: Application
    Filed: July 17, 2008
    Publication date: February 5, 2009
    Applicant: TDK CORPORATION
    Inventors: Tsutomu OKABE, Jun Emoto, Tomoshi Abe
  • Publication number: 20090035099
    Abstract: Adjacent to an opening portion 10 in an FISM system is provided an enclosure that encloses the operation space of a door and has a second opening portion 31 opposed to the opening portion 10. A curtain nozzle is provided above the upper edge of the opening portion 10 in the upper portion in the enclosure. A purge gas is supplied from the curtain nozzle along a direction from the upper edge to the lower edge of the opening portion. In addition, a gas outlet through which the purge gas flows from the interior of the enclosure out into the exterior is provided on the wall of the enclosure to which the purge gas flowing in the above described direction is directed, whereby an increase in the partial pressure of oxidizing gases in the interior of the FOUP is prevented.
    Type: Application
    Filed: April 21, 2008
    Publication date: February 5, 2009
    Applicant: TDK Corporation
    Inventors: Tsutomu Okabe, Jun Emoto
  • Publication number: 20090028671
    Abstract: An in-line system for manufacturing a semiconductor package according to principles of the present invention can prevent wafer warpage due to a back-lap process and die defects due to sticking of the die. In one embodiment, the in-line system adheres a semiconductor chip to a substrate by coating a liquid adhesive agent on a rear surface of the wafer. The processes of the in-line system are preferably performed in series. More particularly, the in-line system for manufacturing a semiconductor package can include a loading unit for loading a wafer into the system. A back-lap unit can include a grinder configured to back-grind a rear surface of the wafer received from the loading unit. A cleansing unit preferably comprises an air pressure plasma generating unit for cleansing the wafer using air pressure plasma. A coating unit can be configured to form an adhesive layer on a rear surface of the cleansed wafer by using a nozzle to coat a liquid adhesive agent onto the wafer.
    Type: Application
    Filed: November 20, 2007
    Publication date: January 29, 2009
    Applicant: SAMSUNG ELECTRONICS CO., LTD.
    Inventors: Ho-Tae Jin, Young-Seok Jung, Bong-Su Cho
  • Publication number: 20090016853
    Abstract: A system for processing semiconductor wafers, includes a plurality of front opening unified pods (FOUPs), loadlocks for receiving the plurality of wafers, a plurality of process chambers configured to perform processing steps and or measurement steps on the wafers, loadlock cooling stations for receiving the wafers from the processing chambers and a transport chamber interconnecting the loadlocks, cooling chambers and process chambers. A first multi-axis robot transfers wafers between the FOUPs, loadlocks and loadlock cooling stations, at an ambient pressure. A second multi-axis robot tranfers wafers between the loadlocks, process chambers and the loadlock cooling stations, and is adapted to operate in a transport chamber at a pressure that is different from the ambient pressure.
    Type: Application
    Filed: July 9, 2007
    Publication date: January 15, 2009
    Inventor: Woo Sik Yoo
  • Publication number: 20090003979
    Abstract: Techniques for handling substrates are disclosed. In one particular exemplary embodiment, the techniques may be realized as a substrate support. The substrate support may comprise a mounting portion. The substrate support may also comprise a wall extending from the mounting portion, wherein the wall may form a generally enclosed area and may have a contact surface at a distal end.
    Type: Application
    Filed: June 29, 2007
    Publication date: January 1, 2009
    Applicant: Varian Semiconductor Equipment Associates, Inc.
    Inventors: Dale K. Stone, Lyudmila Stone, Julian G. Blake, Suneethi Gudapati
  • Publication number: 20090003976
    Abstract: A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.
    Type: Application
    Filed: May 19, 2008
    Publication date: January 1, 2009
    Applicant: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Alexander Krupyshev, Ulysses Gilchrist
  • Patent number: 7467916
    Abstract: A wafer transfer apparatus includes: (A) a mini environment that connects to a wafer storage part and a load lock chamber and is equipped with a transfer robot inside, in order to transfer wafers between the wafer storage part and load lock chamber in the presence of air flows; and (B) a cooling stage that opens and connects to the mini environment from the outside of the mini environment in the vicinity of the connection port of the load lock chamber, in order to temporarily hold a wafer so that the wafer is cooled by the air taken in from the mini environment.
    Type: Grant
    Filed: March 8, 2005
    Date of Patent: December 23, 2008
    Assignee: ASM Japan K.K.
    Inventors: Takayuki Yamagishi, Takeshi Watanabe
  • Publication number: 20080304952
    Abstract: In a vacuum transfer chamber 10, a position detecting mechanism 33 for detecting the positions of semiconductor wafers W is arranged. The semiconductor wafers W disposed at predetermined positions in a load lock chamber 17 and vacuum processing chambers 11 to 16 are transferred to the position detecting mechanism 33 by a vacuum transfer mechanism 30 and the positions of the wafers are detected. Then, based on the detection results, aligning between the load lock chamber 17 and the vacuum processing chambers 11 to 16 is performed.
    Type: Application
    Filed: March 12, 2007
    Publication date: December 11, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventors: Keisuke Kondoh, Hiroshi Koizumi
  • Publication number: 20080304944
    Abstract: A semiconductor manufacturing line includes an inert environment selected from the group consisting essentially of an inert airtight wafer holder, an inert wafer transport channel, an inert production tool, an inert clean room, and combinations thereof.
    Type: Application
    Filed: June 29, 2007
    Publication date: December 11, 2008
    Inventors: Chien-Ming Sung, Simon Wang, Jia-Ren Chen, Henry Lo, Chen-Hua Yu, Jean Wang, Kewei Zuo
  • Publication number: 20080298936
    Abstract: A two piece shell is employed for intermediate and long term storage of substrates. The shell is formed of two halves that can be juxtaposed in vacuum and externally vented, with the internal vacuum retaining the halves in vacuum-sealed engagement. One of the halves also provides a vacuum-sealing perimeter for selectively sealing to a process chamber during loading and/or unloading of the shell with a substrate. A vacuum monitor or the like may be employed to monitor pressure during storage and provide alerts if the vacuum within the sealed shell is compromised.
    Type: Application
    Filed: May 30, 2008
    Publication date: December 4, 2008
    Inventor: Peter van der Meulen
  • Patent number: 7458763
    Abstract: In a system having a number of semiconductor processing modules sharing a common vacuum environment, a mid-entry load lock is provided to permit insertion and removal of wafers into the vacuum environment at a point between various other robotic handlers, process modules, and load locks. This arrangement permits increased flexibility in scheduling when multiple wafers are processed concurrently.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: December 2, 2008
    Assignee: BlueShift Technologies, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 7445415
    Abstract: The present invention comprises a load port for providing access to an article that is stored in a container having a container door removably coupled to a container shell. The load port preferably loads/unloads a container directly from a container transport system. In one embodiment, the load port includes a plate having an opening, a container support plate, a drive assembly for moving the support plate vertically and a shroud to partially enclose the opening. The shroud, which may be affixed to the mounting plate, has an open top and bottom. The shroud contains a mechanism for retaining the container shell at a controllable height. During operation, a container is raised from the transport system into the shroud until the container shell is retained by the mechanism. After the container shell is uncoupled from the container door, the container support plate is lowered until the article is accessible through the opening. The container shell remains located at the controllable height.
    Type: Grant
    Filed: July 8, 2005
    Date of Patent: November 4, 2008
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Michael Krolak, Roger G. Hine
  • Publication number: 20080232947
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Application
    Filed: February 4, 2008
    Publication date: September 25, 2008
    Inventors: Peter van der Meulen, Christopher C. Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Publication number: 20080232948
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Application
    Filed: February 4, 2008
    Publication date: September 25, 2008
    Inventors: Peter van der Meulen, Christopher C Kiley, Patrick D. Pannese, Raymond S. Ritter, Thomas A. Schaefer
  • Publication number: 20080219805
    Abstract: The invention relates to a transfer stage for moving an object in a vacuum chamber in at least a plane of movement, comprising at least a first and a second rod each having a first and a second end, the first and second rod being connected one to the other with their first ends by means of a first hinge, the second end of the first rod being provided with a first hinged support and the second end of the second rod being provided with a second hinged support, the first and second hinged supports being roller supports and the first hinge being provided with attachment means for the object. Particularly when using this transfer stage in lithography systems fast and reliable movements are possible.
    Type: Application
    Filed: April 2, 2003
    Publication date: September 11, 2008
    Inventor: Ian Saunders
  • Patent number: 7422406
    Abstract: Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: September 9, 2008
    Assignee: BlueShift Technologies, Inc.
    Inventor: Peter van der Meulen
  • Publication number: 20080213082
    Abstract: It is intended to prevent an increase in the quantity of particles on a test-piece substrate having undergone processing executed at a low temperature equal to or lower than 0° C. In an inspection method adopted when inspecting the state inside a processing chamber by measuring the quantity of particles on a test-piece substrate, i.e., a test-piece wafer, the test-piece wafer W having undergone a specific type of test processing inside the processing chamber is carried out into a transfer chamber via a loadlock chamber after holding it in the loadlock chamber over a predetermined length of time while delivering a dried inert gas into the loadlock chamber. The predetermined length of time is set to a value at which the increase in the quantity of particles on the test-piece wafer can be kept down at least within an acceptable range.
    Type: Application
    Filed: January 31, 2008
    Publication date: September 4, 2008
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Yoshiyuki KATO
  • Publication number: 20080203302
    Abstract: There is provided a mini environment type transfer unit which can efficiently transfer a sample to a critical dimension scanning electron microscope (CD-SEM) even in the case of use of a SMIF pod which can store only one photomask. In addition to a load port, a stocker which can store a plurality of photomasks is provided in the mini environment type transfer unit. A mask storage slot in which a plurality of storage units are stacked is provided in the stocker, and one photomask is stored in each storage unit. A sensor is provided in each storage unit to determine whether or not the photomask is normally stored. Additionally, a sensor is provided in each storage unit to detect whether or not the photomask exists.
    Type: Application
    Filed: February 27, 2008
    Publication date: August 28, 2008
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Takashi Gunji, Hidetoshi Sato, Katsuya Kawakami, Hideki Yatabe
  • Publication number: 20080199281
    Abstract: The present invention relates to a system for handling wafers (W) within a treatment apparatus (1) comprising a suction system (10) equipped with a suction inlet, a suction pipe (7) having a first end and a second end, said first end being connected to the suction inlet of the suction system (10), a tool (6) suitable for handling wafers (W) and for holding them by suction, and connected to the second end of the suction pipe (7), and a device for regulating the pressure in the suction pipe; the regulating device comprises a valve (8) connected to the suction pipe (7) and capable of opening when the pressure in the suction pipe (7) falls below a predetermined value.
    Type: Application
    Filed: May 26, 2005
    Publication date: August 21, 2008
    Applicant: LPE S.P.A.
    Inventors: Vincenzo Ogliari, Franco Preti
  • Publication number: 20080193274
    Abstract: The invention aims to provide substrate treatment equipment that can automatically collect a substrate in a normal condition without needing manual operation. The equipment includes a substrate holder 26 for holding substrates 12 in a multistage manner and a substrate transfer unit 34 for transferring the substrates 12 into the substrate holder 26, wherein a substrate holding condition of the substrate holder 26 is sensed by a sensing section 60. The sensing section 60 has photo-sensors 64a, 64b, and sensing waveforms sensed by the photo-sensors 64a, 64b are compared with a normal waveform. A control section 66 is provided, which controls a substrate transfer unit 34 such that substrates 12 other than at least a substrate 12 that was determined to be abnormal are transferred by the unit.
    Type: Application
    Filed: April 11, 2008
    Publication date: August 14, 2008
    Applicant: HITACHI KOKUSAI ELECTRIC INC.
    Inventors: Makoto Hirano, Akihiro Yoshida
  • Publication number: 20080187430
    Abstract: A process chamber 10 comprising a container (12), a lid (14), and a sealed interface (16) therebetween. The container's interface surface (30) and/or the lid's interface surface (32) includes at least one groove (36) in which a seal (40) is situated. The seal (40) comprises an elastomeric element (50) and a metallic element (60). The elastomeric element (50) and the metallic element (60) can be arranged and adapted to seal the chamber's interface (16) sequentially during its conversion to a sealed condition. And/or the elastomeric element (50) and the metallic element (60) can be arranged and adapted to seal the chamber's interface in series once the lid (14) is in its sealed condition.
    Type: Application
    Filed: February 1, 2008
    Publication date: August 7, 2008
    Inventors: Amitava Datta, Peter G. Amos, Dominick G. More, Kenneth W. Cornett, Jeremy Payne
  • Patent number: 7409257
    Abstract: Disclosed is a system for moving substrates in and out of a manufacturing process.
    Type: Grant
    Filed: June 21, 2004
    Date of Patent: August 5, 2008
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Min-Young Heo, Sung-Joon Byun, Jung-Teak Lim, Byung-Kwen Park
  • Publication number: 20080175694
    Abstract: The present invention is related to a method for transferring substrates. The method comprise simultaneously transferring two substrates, by means of a transfer unit, between first support plates disposed to be vertically spaced apart from each other and second support plates arranged abreast in a lateral direction. The transfer unit comprises a top blade and a bottom blade converted to a folded state where they are vertically disposed to face each other and an unfolded state where they rotate at a preset angle in opposite directions. The transfer unit place/take a substrate on/out of the first support plates under the folded state and place/take a substrate on/out of the second support plates under the unfolded state.
    Type: Application
    Filed: August 21, 2007
    Publication date: July 24, 2008
    Inventors: Dong-Seok Park, Sang-Ho Seol
  • Publication number: 20080152464
    Abstract: The present invention pertains to methods, apparatuses, and systems for fabricating three-dimensional integrated circuits. One embodiment of the method comprises providing a wafer or other substrate having a plurality of through holes. In addition, the method includes supporting the wafer or other substrate with a wafer or other substrate holder mounted in a process chamber. The method further includes generating a pressure differential between the front side of the wafer or other substrate and the back side of the wafer or other substrate while the wafer or other substrate is supported on the wafer or other substrate holder so that the pressure differential causes fluid flow through the through holes. Also, the method includes establishing process conditions in the process chamber for at least one process to fabricate integrated circuits. Embodiments of a system and embodiments of an apparatus according to the present invention are also presented.
    Type: Application
    Filed: December 17, 2007
    Publication date: June 26, 2008
    Inventors: Shijian LI, Fritz Redeker, Yezdi Dordi
  • Publication number: 20080145191
    Abstract: A substrate transport module adapted to transport a substrate in a processing chamber of a semiconductor processing apparatus. The substrate transport module includes a substrate cooling surface and a plurality of coolant channels disposed in the substrate transport module and in thermal communication with the substrate cooling surface. The substrate transport module also includes a plurality of vacuum channels disposed in the substrate transport module and a plurality of proximity pins extending to a predetermined height above the substrate cooling surface. Each of the plurality of proximity pins is in fluid communication with one or more of the plurality of vacuum channels.
    Type: Application
    Filed: March 21, 2007
    Publication date: June 19, 2008
    Applicant: SOKUDO CO., LTD.
    Inventors: Martin Jeff Salinas, Tetsuya Ishikawa, David H. Quach, Natarajan Ramanan
  • Publication number: 20080138178
    Abstract: An ion implantation apparatus, system, and method are provided for transferring a plurality of workpieces between vacuum and atmospheric pressures, wherein an alignment mechanism is operable to align a plurality of workpieces for generally simultaneous transportation to a dual-workpiece load lock chamber. The alignment mechanism comprises a characterization device, an elevator, and two vertically-aligned workpiece supports for supporting two workpieces. First and second atmospheric robots are configured to generally simultaneously transfer two workpieces at a time between load lock modules, the alignment mechanism, and a FOUP. Third and fourth vacuum robots are configured to transfer one workpiece at a time between the load lock modules and a process module.
    Type: Application
    Filed: December 6, 2006
    Publication date: June 12, 2008
    Inventors: Joseph Ferrara, Robert J. Mitchell
  • Publication number: 20080138177
    Abstract: A load lock is constructed and arranged to transfer a substrate between a first environment and a second environment and to maintain each of the first environment and the second environment therein. The load lock includes a load lock chamber provided with at least two mutually distinct substrate supports positioned one above the other. Each of the substrate supports includes a substrate displacement element. The load lock also includes an evacuation device constructed and arranged to evacuate the load lock chamber, and a door constructed and arranged to close the load lock chamber during evacuation and for opening the load lock chamber.
    Type: Application
    Filed: February 1, 2008
    Publication date: June 12, 2008
    Applicant: ASML NETHERLANDS B.V.
    Inventors: Albert Jan Hendrik Klomp, Jan Frederik Hoogkamp, Josephus Cornelius Johannes Antonius Vugts, Robert Gordon Livesey, Johannes Hendrikus Gertrudis Franssen
  • Publication number: 20080131237
    Abstract: Modular wafer transport and handling facilities are combined in a variety of ways deliver greater levels of flexibility, utility, efficiency, and functionality in a vacuum semiconductor processing system. Various processing and other modules may be interconnected with tunnel-and-cart transportation systems to extend the distance and versatility of the vacuum environment. Other improvements such as bypass thermal adjusters, buffering aligners, batch processing, multifunction modules, low particle vents, cluster processing cells, and the like are incorporated to expand functionality and improve processing efficiency.
    Type: Application
    Filed: February 14, 2008
    Publication date: June 5, 2008
    Inventor: Peter van der Meulen
  • Publication number: 20080118333
    Abstract: An embodiment of the present invention is a technique to automate transfer of parts for high throughput. A boat transfer unit (BTU) arm carrying a boat containing a plurality of parts is rotated from an initial position to a first position that is below a process chamber. The BTU arm engages a boat support that supports the boat. The BTU arm is moved upward to a second position such that the boat partially enters the process chamber at a distance D with respect to an entrance opening of the process chamber. An elevator arm carrying a pedestal is engaged to lower side of the boat support. The BTU arm is moved away from the second position. The elevator arm is moved upward to fully insert the boat inside the process chamber.
    Type: Application
    Filed: July 10, 2007
    Publication date: May 22, 2008
    Inventors: Arsalan Alan Emami, Mitch Agamohamadi, Saeed Sedehi
  • Patent number: 7371683
    Abstract: A method for carrying an object to be processed used for a processing apparatus which comprises a plurality of process chambers including a specific process chamber for a process in which the object in process is easily contaminated and a carrying mechanism having two picks. The method includes a plurality of carrying steps wherein the object in process is sequentially carried from one chamber to another among the plurality of process chambers. One of the two picks is used in carrying steps up right before carrying the object into the specific process chamber, and the other pick is used in the step of carrying the object into the specific process chamber and the later carrying steps.
    Type: Grant
    Filed: September 19, 2003
    Date of Patent: May 13, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Shigeru Ishizawa, Eiji Horike
  • Patent number: 7331751
    Abstract: A vacuum processing method includes an atmospheric transfer step of transferring a wafer in atmospheric air to a vacuum transfer chamber using atmospheric transfer equipment disposed in atmospheric air, a vacuum transfer step of transferring the wafer received from the atmospheric transfer equipment to a position for a predetermined treatment within a vacuum processing chamber using vacuum transfer equipment disposed within the vacuum transfer chamber connecting the atmospheric transfer equipment and the vacuum processing chamber, a step of detecting the displacement of the wafer in a transverse direction with respect to a traveling direction near an ingress path of the wafer to the vacuum processing chamber by comparing a correct position of the wafer passing a line which is predetermined in advance with an actual position of said wafer being transferred by the vacuum transfer equipment, and a step of correcting the detected displacement of the wafer.
    Type: Grant
    Filed: September 10, 2003
    Date of Patent: February 19, 2008
    Assignee: Hitachi High-Technologies Corporation
    Inventor: Naoyuki Tamura
  • Patent number: 7273339
    Abstract: The present invention is directed to a powder paint delivery method and apparatus employing a pressurized reservoir pump that supplies a controlled stream of densely fluidized or dense phase powder paint to the applicator though a powder delivery conduit. The powder flow rate is a function of the pressure in the reservoir and the flow resistance from the reservoir to the applicator, and is therefore very stable over time, and simple to control. The powder flow can be controlled by holding the resistance of the powder flow path constant and varying the pressure in the reservoir, or by holding the reservoir pressure constant and varying the resistance of the powder flow path.
    Type: Grant
    Filed: March 7, 2003
    Date of Patent: September 25, 2007
    Assignee: Haden Schweitzer Corporation
    Inventors: Jeffrey C. Johnson, Jeffrey R. Joyce
  • Publication number: 20070175395
    Abstract: A vacuum apparatus includes a first isolation chamber, a second isolation chamber, a vacuum source configured to extract air from the first and second isolation chambers, and an isolation valve unit, wherein the isolation valve unit is configured to close a flow path between the vacuum source and the first isolation chamber before opening a flow path between the vacuum source and the second isolation chamber when the first isolation chamber is in a vacuum state and the second isolation chamber is at a pressure higher than that of the first isolation chamber.
    Type: Application
    Filed: January 26, 2007
    Publication date: August 2, 2007
    Inventor: Sang-Do Oh
  • Patent number: 7249925
    Abstract: A substrate protection and transport system and method for transitioning a substrate from atmospheric pressure to vacuum in a lithography tool. The system includes one or more removable substrate transport cassettes that support a substrate. The cassette can include a base portion and top portion, and can include a seal. Each cassette has at least one vent and at least one filter. The system further includes a box having a base and lid. The box holds one or more cassette-substrate arrangements. A storage rack having shelves for holding the box-cassette-substrate arrangement is also provided. Further, an entry-exit module having a loadlock is provided for transitioning the cassette-substrate arrangement from atmospheric pressure to vacuum. The entry-exit module can include a shuttle and/or elevator for transporting the cassette-substrate arrangement.
    Type: Grant
    Filed: November 21, 2005
    Date of Patent: July 31, 2007
    Assignee: ASML Holding N.V.
    Inventors: Santiago E. del Puerto, Michael A. DeMarco, Glenn M. Friedman, Jorge S. Ivaldi, James A. McClay
  • Publication number: 20070166133
    Abstract: Embodiments of the invention include a chamber body having at least one of a top or bottom decoupled from the sidewalls of the chamber body. The invention is suitable for use as a load lock chamber, substrate transfer chamber and vacuum processing chambers, among others.
    Type: Application
    Filed: January 13, 2006
    Publication date: July 19, 2007
    Inventors: Jae-Chull Lee, Shinichi Kurita, John M. White, Suhail Anwar
  • Patent number: 7232286
    Abstract: The present invention provides a seal device comprising a sealing passage which allows communication between a first space and a second space, and evacuation lines individually connected to the first space and the sealing passage. A gas feed line for feeding dry gas is connected to the sealing passage.
    Type: Grant
    Filed: April 2, 2003
    Date of Patent: June 19, 2007
    Assignee: Ebara Corporation
    Inventor: Hiroyuki Shinozaki
  • Patent number: 7214027
    Abstract: Systems and methods for handling wafers include retrieving a first wafer from a wafer cassette using a first arm, transferring the first wafer from the first transfer arm to a second arm, delivering the first wafer for processing to a process chamber using the second arm, removing the first wafer from the process chamber using the first arm, and returning the first wafer to the cassette using the first arm. The systems and methods can include retrieving a first wafer from a wafer cassette using a first arm, delivering the first wafer for processing to a process chamber using the first arm, removing a processed wafer from the process chamber using a second arm, returning the processed wafer to the cassette using the second arm, and iteratively retrieving, delivering, removing and returning wafers from the cassette while alternating arms between iterations.
    Type: Grant
    Filed: October 16, 2003
    Date of Patent: May 8, 2007
    Assignee: Varian Semiconductor Equipment Associates, Inc.
    Inventor: Stanley W. Stone
  • Patent number: 7141809
    Abstract: A method for reciprocally transporting a workpiece on a scan arm through an ion beam is provided, wherein the scan arm is operably coupled to a motor comprising a rotor and stator that are individually rotatable about a first axis. An electromagnetic force applied between the rotor and stator rotates the rotor about the first axis and translates the workpiece through the ion beam along a first scan path. A position of the workpiece is sensed and the electromagnetic force between the rotor and stator is controlled in order to reverse the direction of motion of the workpiece along the first scan path, and wherein the control is based, at least in part, on the sensed position of the workpiece. The stator further rotates about the first axis in reaction to the rotation of the rotor, particularly in the reversal of direction of motion of the workpiece, thus acting as a reaction mass to the rotation of one or more of the rotor, scan arm, and workpiece.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: November 28, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: John W. Vanderpot, John D. Pollock, Donald W. Berrian
  • Patent number: 7135691
    Abstract: A reciprocating drive system and apparatus for scanning a workpiece through an ion beam are provided, wherein a motor comprising a rotor and stator operable to individually rotate about a first axis is operable to reciprocally translate the workpiece with respect to the ion beam. A shaft rotatably driven by the rotor extends along the first axis, and a scan arm is operably coupled to the shaft, wherein the scan arm is operable to support the workpiece thereon. Cyclical counter rotations of the shaft by the motor are operable to rotate the scan arm, therein scanning the workpiece through the ion beam along a first scan path, wherein the stator acts as a reaction mass to the rotation of the rotor. A controller is further operable to control an electromagnetic force between the rotor and the stator, therein generally determining a rotational position of the rotor and the stator.
    Type: Grant
    Filed: April 5, 2005
    Date of Patent: November 14, 2006
    Assignee: Axcelis Technologies, Inc.
    Inventors: John W. Vanderpot, John D. Pollock, Donald W. Berrian
  • Patent number: 7077614
    Abstract: Sorting/storage device for wafers. A sorting device is provided in which at least two cassettes containing wafers may be present and the wafers are moved from one cassette to the other cassette or vice versa. If appropriate, a measuring station may be present in the sorting device. In the immediate vicinity of the sorting device, the cassettes are stored in a magazine which is designed for this purpose and the cassettes are moved using a handling device for cassettes.
    Type: Grant
    Filed: September 21, 1999
    Date of Patent: July 18, 2006
    Assignee: ASM International N.V.
    Inventors: Albert Hasper, Sebastiaan Eliza Nooten, Menso Hendriks
  • Patent number: 7074000
    Abstract: A pod loading station includes a docking mechanism adapted to move a pod between a docked position and an undocked position, and a door opener adapted to unlatch and open a pod door from the pod. A controller is coupled to the docking mechanism and the door opener and is programmed to cause the pod to move from the docked position to the undocked position, and then to cause the pod to move back to the docked position so that it can be determined whether the pod door is properly closed prior to completing the removal of the pod from the pod loading station.
    Type: Grant
    Filed: December 31, 2002
    Date of Patent: July 11, 2006
    Assignee: Applied Materials, Inc.
    Inventor: Eric A. Englhardt