Of Moving Material Between Zones Having Different Pressures And Inhibiting Change In Pressure Gradient Therebetween Patents (Class 414/805)
  • Publication number: 20110064880
    Abstract: A vacuum processing apparatus includes an evacuatable vacuum chamber, a substrate holder which is provided in the vacuum chamber, has a substrate chuck surface vertically facing down, and includes an electrostatic chuck mechanism which electrostatically chucks a substrate, a substrate support member which is provided in the vacuum chamber to keep the substrate parallel to the substrate chuck surface and support the substrate in an orientation that allows the substrate chuck surface to chuck the substrate, and a moving mechanism which moves at least one of the substrate holder and the substrate supported by the substrate support member so as to bring the substrate and the substrate holder into contact with each other, thereby causing the substrate holder to chuck the substrate.
    Type: Application
    Filed: November 23, 2010
    Publication date: March 17, 2011
    Applicant: CANON ANELVA CORPORATION
    Inventors: Hajime YAMAMOTO, Hiroyuki Imai
  • Publication number: 20110038693
    Abstract: The invention relates to a sluice device (10, 10?) with at least one individual sluice (11) for the passing of strip material (2). In order to also be able to maintain or repair the sluice device and in particular its individual sluices, without the strip material (2) having to be removed from the sluice device, it is proposed according to the invention that the individual sluice is formed from at least a first and a second part, in which the two parts are connected with each other via a releasable connection for opening and closing the individual sluice and that at least one device (24, 25) is provided for displacing or moving the first and the second part of the individual sluice relative to each other in or contrary to the transportation direction of the strip material (2) with the connection opened.
    Type: Application
    Filed: October 11, 2008
    Publication date: February 17, 2011
    Inventors: Holger Behrens, Ralf-Hartmut Sohl, Lutz Kuemmel
  • Patent number: 7878755
    Abstract: A load lock is constructed and arranged to transfer a substrate between a first environment and a second environment and to maintain each of the first environment and the second environment therein. The load lock includes a load lock chamber provided with at least two mutually distinct substrate supports positioned one above the other. Each of the substrate supports includes a substrate displacement element. The load lock also includes an evacuation device constructed and arranged to evacuate the load lock chamber, and a door constructed and arranged to close the load lock chamber during evacuation and for opening the load lock chamber.
    Type: Grant
    Filed: February 1, 2008
    Date of Patent: February 1, 2011
    Assignee: ASML Netherlands B.V.
    Inventors: Albert Jan Hendrik Klomp, Jan Frederik Hoogkamp, Josephus Cornelius Johannes Antonius Vugts, Robert Gordon Livesey, Johannes Hendrikus Gertrudis Franssen
  • Publication number: 20110020104
    Abstract: Provided is a method and apparatus for moving and exchanging reticles within a vacuum lithographic system with minimum particle generation and outgassing. In an example of the method, a first arm of a rotational exchange device (RED) receives a first baseplate holding a first reticle. A second arm of the RED supports and buffers a second baseplate. The first and second baseplates are located substantially equidistant from an axis of rotation of the RED.
    Type: Application
    Filed: April 14, 2009
    Publication date: January 27, 2011
    Applicants: ASML HOLDING N.V., ASML NETHERLANDS B.V.
    Inventors: Robert Gabriel Maria Lansbergen, George Hilary Harrold, Richard John Johnson, Hugo Jacobus Gerardus Van Der Weijden
  • Patent number: 7866341
    Abstract: An apparatus includes a transfer unit under an atmospheric condition and having a robot therein; and at least one process chamber connected to one side of the transfer unit with a slot valve there between, and being alternately under a vacuum condition and under an atmospheric condition.
    Type: Grant
    Filed: February 25, 2010
    Date of Patent: January 11, 2011
    Assignee: Jusung Engineering Co., Ltd.
    Inventors: Hong-Seub Kim, Hyun-Soo Park, Soon-Bin Jung, Sung-Ho Cha, Dong-Jin Kim, Wook-Jung Hwang, Jin-Hyuk Yoo
  • Publication number: 20110002759
    Abstract: A method and an apparatus for forcing a gas-solid two-phase substance are provided in which the amount of a gas returning to an ordinary-pressure side can be reduced to reduce the consumption of power necessary for gas compression. A solid raw material (a) stored at ordinary pressure is forced into a high-pressure vessel via a switch valve (4) in the following manner. The raw material (a) is accommodated into a rotary valve element (7) disposed in the switch valve (4). Thereafter, an entrained gas (c) present in interstices of raw material (a) is replaced with an incompressible fluid (d).
    Type: Application
    Filed: March 25, 2009
    Publication date: January 6, 2011
    Inventors: Tetsuro Murayama, Shigeru Watanabe
  • Publication number: 20100326797
    Abstract: A carrier for transporting a plurality of solar cell substrates comprising a peripheral frame defined by a pair of side members connected by first and second complementary end members, a plurality of cross struts, a plurality of standoffs for supporting the substrates, and at least one drive member coupled to one of the end members. The end members have alternating bends that provide a wave-like pattern of projections and indentations, are arranged in a spaced and substantially parallel orientation, and are constructed from metal wire. Each cross strut is connected to the first end member and the second end member between complementary projections and indentations. Rotation of the drive member causes both end members to rotate in a circular motion.
    Type: Application
    Filed: April 23, 2010
    Publication date: December 30, 2010
    Applicant: Applied Materials, Inc.
    Inventor: Peter G. Borden
  • Patent number: 7845897
    Abstract: Substrates are transported to accurate positions, while mounted on one hand of a transport robot. When substrates are to be transported from transporting chamber into processing chamber, a first mounting portion of a hand is located immediately above first processing position provided in processing chamber; and the substrate placed on first mounting portion is lifted up. Then, a second mounting portion is located immediately above second processing position by finely moving the hand. Next, the substrate on second mounting portion is lifted up. When the hand is pulled out from between the substrates and first and second processing positions and the substrates are lowered, the substrates are accurately arranged on first and second processing positions. When substrates are mounted on the hand in an order reverse to the above, the substrates in processing chamber can be accurately mounted on first and second mounting portions and be carried out.
    Type: Grant
    Filed: June 17, 2009
    Date of Patent: December 7, 2010
    Assignee: ULVAC, Inc.
    Inventor: Kenji Agou
  • Publication number: 20100304027
    Abstract: Embodiments of the invention provide methods for processing substrates within a substrate processing system. In one embodiment, the method provides depositing a material on a substrate within a vapor deposition chamber coupled to a buffer chamber contained within a mainframe while maintaining a pressure of about 1×10?6 Torr or lower within a transfer chamber contained within the mainframe. The method further includes transferring the substrate from the vapor deposition chamber to the buffer chamber by a substrate handling robot while flowing a gas into the buffer chamber, evacuating the vapor deposition chamber, and maintaining a greater internal pressure within the buffer chamber than in the vapor deposition chamber. In some embodiments, the method includes transferring the substrate from the transfer chamber to a PVD chamber coupled to the transfer chamber by another substrate handling robot and depositing another material on the substrate within the PVD chamber.
    Type: Application
    Filed: May 27, 2010
    Publication date: December 2, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Wei Ti Lee, Lai Ta, Srinivas Guggilla, Kevin Moraes, Olkan Cuvalci, Regan Young, John Mazzocco
  • Publication number: 20100290888
    Abstract: Adjacent to an opening portion in an FISM system is provided an enclosure that encloses the operation space of a door and has a second opening portion opposed to the opening portion. A curtain nozzle is provided above the upper edge of the opening portion in the upper portion in the enclosure. A purge gas is supplied from the curtain nozzle along a direction from the upper edge to the lower edge of the opening portion. In addition, a gas outlet through which the purge gas flows from the interior of the enclosure out into the exterior is provided on the wall of the enclosure to which the purge gas flowing in the above described direction is directed, whereby an increase in the partial pressure of oxidizing gases in the interior of the FOUP is prevented.
    Type: Application
    Filed: July 27, 2010
    Publication date: November 18, 2010
    Applicant: TDK CORPORATION
    Inventors: Tsutomu Okabe, Jun Emoto
  • Patent number: 7824146
    Abstract: Automated systems and methods for adapting semiconductor fabrication tools to process wafers of different diameters are described. In one embodiment, a method comprises providing a semiconductor fabrication tool, placing an adapter ring on a plurality of ring holders via a robotic arm, the plurality of ring holders being operable to support the adapter ring at a vertical distance from a stage heater and the stage heater being movable in a vertical direction, placing a first semiconductor wafer on the stage heater via the robotic arm, the first semiconductor wafer having a first diameter, and moving the stage heater upward to receive the adapter ring from the plurality of ring holders and to cover a portion of the stage heater during processing of the first semiconductor wafer.
    Type: Grant
    Filed: September 7, 2007
    Date of Patent: November 2, 2010
    Assignee: Advanced Technology Development Facility
    Inventors: Khamsidi Lanee, Gerry Moore
  • Publication number: 20100247274
    Abstract: A substrate exchange mechanism and method is disclosed in which a first transferring member that has been moved into the load lock chamber is moved in a first direction of upward and downward directions, thereby transferring the first substrate between the first transferring member and the first supporting member; a second transferring member that has been moved into the load lock chamber is moved in the first direction; and a second supporting member of the load lock chamber in the first direction in at least an overlapping period of time with the second transferring member so that vertical positions of the second supporting member and the second transferring member are reversed, thereby transferring the second substrate between the second transferring member and the second supporting member.
    Type: Application
    Filed: March 23, 2010
    Publication date: September 30, 2010
    Inventor: YOJI IIZUKA
  • Publication number: 20100239395
    Abstract: A substrate-treating apparatus includes: a plurality of modules disposed along a first direction, each of the plurality of modules having an inner space containable a substrate; a transfer unit transferring the substrate among the plurality of modules, the transfer unit including at least one track disposed along the first direction and at least one movable transfer chamber moving along the at least one track; and a gate valve fixed to each of the plurality of modules and combined with the at least one movable transfer chamber, wherein the at least one movable transfer chamber is atmospherically isolated from an exterior while moving.
    Type: Application
    Filed: June 6, 2010
    Publication date: September 23, 2010
    Applicant: JUSUNG ENGINEERING CO., LTD.
    Inventor: Klaus Hügler
  • Patent number: 7798764
    Abstract: A method and apparatus for processing substrates using a cluster tool that has an increased system throughput, increased system reliability, improved device yield performance, and a reduced footprint. The various embodiments of the cluster tool may utilize two or more robot assemblies that are configured in a parallel processing configuration and adapted to move in a vertical and a horizontal direction to transfer substrates between the various processing chambers retained in the processing racks so that a desired processing sequence can be performed on the substrates. Generally, the various embodiments described herein are advantageous since each row or group of substrate processing chambers are serviced by two or more robots to allow for increased throughput and increased system reliability. Also, the various embodiments described herein are generally configured to minimize and control the particles generated by the substrate transferring mechanisms.
    Type: Grant
    Filed: October 27, 2006
    Date of Patent: September 21, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Mike Rice, Jeffrey Hudgens, Charles Carlson, William Tyler Weaver, Robert Lowrance, Eric Englhardt, Dean C. Hruzek, Dave Silvetti, Michael Kuchar, Kirk Van Katwyk, Van Hoskins, Vinay Shah
  • Publication number: 20100230592
    Abstract: There is provided a mini environment type transfer unit which can efficiently transfer a sample to a critical dimension scanning electron microscope (CD-SEM) even in the case of use of a SMIF pod which can store only one photomask. In addition to a load port, a stocker which can store a plurality of photomasks is provided in the mini environment type transfer unit. A mask storage slot in which a plurality of storage units are stacked is provided in the stocker, and one photomask is stored in each storage unit. A sensor is provided in each storage unit to determine whether or not the photomask is normally stored. Additionally, a sensor is provided in each storage unit to detect whether or not the photomask exists.
    Type: Application
    Filed: May 26, 2010
    Publication date: September 16, 2010
    Applicant: Hitachi High-Technologies Corporation
    Inventors: Takashi GUNJI, Hidetoshi Sato, Katsuya Kawakami, Hideko Yatabe
  • Publication number: 20100226736
    Abstract: An apparatus with a plurality of load-lock chambers stacked having independently controlled pressures within their interior regions is provided. According to one or more embodiments, each load-lock chamber includes a pump valve connected to a pump line and a vent valve connected to a vent line to independently control the changes of pressure within the interior regions of the chambers. Methods for conveying substrates held within these chambers from the apparatus to one or more processing chambers, which may be in-line, are also provided.
    Type: Application
    Filed: March 5, 2009
    Publication date: September 9, 2010
    Applicant: Applied Materials, Inc.
    Inventor: Peter G. Borden
  • Publication number: 20100202860
    Abstract: A semiconductor processing apparatus includes a reaction chamber, a loading chamber, a movable support, a drive mechanism, and a control system. The reaction chamber includes a baseplate. The baseplate includes an opening. The movable support is configured to hold a workpiece. The drive mechanism is configured to move a workpiece held on the support towards the opening of the baseplate into a processing position. The control system is configured to create a positive pressure gradient between the reaction chamber and the loading chamber while the workpiece support is in motion. Purge gases flow from the reaction chamber into the loading chamber while the workpiece support is in motion. The control system is configured to create a negative pressure gradient between the reaction chamber and the loading chamber while the workpiece is being processed.
    Type: Application
    Filed: February 9, 2009
    Publication date: August 12, 2010
    Applicant: ASM America, Inc.
    Inventors: Joseph C. Reed, Eric J. Shero
  • Publication number: 20100203676
    Abstract: A method of manufacturing an array of semiconductor devices comprises providing a first carrier having multiple chip alignment regions. Multiple chips are placed over the multiple chip alignment regions. Then, alignment of the chips to the multiple chip alignment regions is obtained. The multiple chips are then placed on a second carrier. The first carrier is detached from the multiple chips. An encapsulation material is applied to the multiple chips to form an encapsulated array of semiconductor chips. The second carrier is then detached from the encapsulated array of semiconductor devices.
    Type: Application
    Filed: February 12, 2009
    Publication date: August 12, 2010
    Applicant: INFINEON TECHNOLOGIES AG
    Inventors: Horst Theuss, Georg Meyer-Berg
  • Publication number: 20100189532
    Abstract: A structure is provided in which a load lock chamber (51) for carrying in and out a wafer, a first conveyance module (53a) having a first conveyance mechanism (54a), a first process module (52a), a second conveyance module (53b) having a second conveyance mechanism (54b), and a second process module (52b) are sequentially connected in series. A wafer (55) is conveyed between the load lock chamber and the first process module by the first conveyance mechanism and conveyed between the first process module and the second process module by the second conveyance mechanism.
    Type: Application
    Filed: March 9, 2010
    Publication date: July 29, 2010
    Applicant: CANON ANELVA CORPORATION
    Inventors: Naoki Watanabe, Einstein Noel Abarra, David Djulianto Djayaprawira, Yasumi Kurematsu
  • Publication number: 20100190343
    Abstract: A load lock includes a chamber including an upper portion, a lower portion, and a partition between the upper portion and the lower portion, the partition including an opening therethrough. The load lock further includes a first port in communication with the upper portion of the chamber and a second port in communication with the lower portion of the chamber. The load lock includes a rack disposed within the chamber and a workpiece holder mounted on a first surface of the rack, wherein the rack and the workpiece holder are movable by an indexer that is capable of selectively moving wafer slots of the rack into communication with the second port. The indexer can also move the rack into an uppermost position, at which the first surface of the boat and the partition sealingly separate the upper portion and the lower portion to define an upper chamber and a lower chamber. Auxiliary processing, such as wafer pre-cleaning, or metrology can be conducted in the upper portion.
    Type: Application
    Filed: January 27, 2010
    Publication date: July 29, 2010
    Applicant: ASM AMERICA, INC.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk, Eric Hill, Loring G. Davis, John T. DiSanto
  • Publication number: 20100178146
    Abstract: A substrate transporting robot apparatus is disclosed which is adapted to transport a substrate to and from a chamber of an electronic device processing system. The apparatus may include an upper arm rotatable in an X-Y plane, a forearm rotatable relative to the upper arm in the X-Y plane, and a wrist member rotatable relative to the forearm in the X-Y plane, the wrist member including an end effector adapted to carry a substrate. The wrist member may be subjected to independent rotation such that various degrees of yaw may be imparted to the wrist member. In some aspects, the independent rotation is provided without a motive power device (e.g., motor) being provided on the arms or wrist member, i.e., the wrist member may be remotely driven. Systems and methods using the robot apparatus are also provided as are numerous other aspects.
    Type: Application
    Filed: January 8, 2010
    Publication date: July 15, 2010
    Applicant: Applied Materials, Inc.
    Inventors: Izya Kremerman, Jeffrey C. Hudgens
  • Patent number: 7756599
    Abstract: A computer readable storage medium storing a program for performing an operation method of a substrate processing apparatus is provided. The operation method includes the steps of introducing a nonreactive gas into the vacuum preparation chamber before the gate valve is opened while the substrate is transferred between the vacuum preparation chamber of the vacuum processing unit and the transfer unit, stopping introducing the nonreactive gas when an inner pressure of the vacuum preparation chamber becomes same as an atmospheric pressure, starting an evacuation process of the corrosive gas in the vacuum preparation chamber and then opening to atmosphere performed by letting the vacuum preparation chamber communicate with an atmosphere, and opening the gate valve after the step of opening to atmosphere.
    Type: Grant
    Filed: October 21, 2005
    Date of Patent: July 13, 2010
    Assignee: Tokyo Electron Limited
    Inventors: Tomoyuki Kudo, Jun Ozawa, Hiroshi Nakamura, Kazunori Kazama, Tsuyoshi Moriya, Hiroyuki Nakayama, Hiroshi Nagaike
  • Patent number: 7748944
    Abstract: A method and apparatus for semiconductor processing is disclosed. In one embodiment, a method of transporting a wafer within a cluster tool, comprises placing the wafer into a first segment of a vacuum enclosure, the vacuum enclosure being attached to a processing chamber and a factory interface. The wafer is transported to a second segment of the vacuum enclosure using a vertical transport mechanism, wherein the second segment is above or below the first segment.
    Type: Grant
    Filed: October 30, 2007
    Date of Patent: July 6, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: JB Price, Jed Keller, Laurence Dulmage, David Cheng
  • Publication number: 20100167503
    Abstract: In accordance with some embodiments described herein, a method for transferring a substrate to two or more process modules is provided, comprising loading at least one substrate into one or more mobile transverse chambers, the mobile transverse chambers being carried on a rail positioned adjacent to the two or more process modules, and wherein each mobile transverse chamber is configured to maintain a specified gas condition during conveyance of the substrate. One or more drive systems are actuated to propel at least one of the one or more mobile transverse chambers along the rail. The at least one mobile transfer chamber docks to at least one of the process modules, and the substrate is conveyed from the mobile transverse chamber to the at least one process modules.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Tzy-Chung Terry Wu, Simon Zhu, Ronald L. Rose, Gene Shin, Xiaoming Wang
  • Publication number: 20100162955
    Abstract: In accordance with some embodiments described herein, a system for processing substrates includes two or more process modules, a substrate handling robot, a load lock chamber, and a transverse substrate handler. The transverse substrate handler includes mobile transverse chambers configured to convey substrates to process modules, wherein each mobile transverse chamber is configured to maintain a specified gas condition during the conveyance of the substrates. The transverse substrate handler further includes a rail for supporting the mobile transverse chambers, wherein the rail is positioned adjacent to entry of the process modules, and drive systems for moving the mobile transverse chambers on the rail.
    Type: Application
    Filed: December 31, 2008
    Publication date: July 1, 2010
    Inventors: Lawrence Chung-Lai Lei, Alfred Mak, Rex Liu, Kon Park, Samuel S. Pak, Ying Tsong Loh, Tzy-Chung Terry Wu, Simon Zhu, Roland L. Rose, Gene Shin, Xiaoming Wang
  • Patent number: 7737416
    Abstract: There is provided a mini environment type transfer unit which can efficiently transfer a sample to a critical dimension scanning electron microscope (CD-SEM) even in the case of use of a SMIF pod which can store only one photomask. In addition to a load port, a stocker which can store a plurality of photomasks is provided in the mini environment type transfer unit. A mask storage slot in which a plurality of storage units are stacked is provided in the stocker, and one photomask is stored in each storage unit. A sensor is provided in each storage unit to determine whether or not the photomask is normally stored. Additionally, a sensor is provided in each storage unit to detect whether or not the photomask exists.
    Type: Grant
    Filed: February 27, 2008
    Date of Patent: June 15, 2010
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Takashi Gunji, Hidetoshi Sato, Katsuya Kawakami, Hideki Yatabe
  • Patent number: 7735710
    Abstract: A method and apparatus for supporting a substrate is generally provided. In one aspect, an apparatus for supporting a substrate includes a support plate having a first body disposed proximate thereto. A first pushing member is radially coupled to the first body and adapted to urge the substrate in a first direction parallel to the support plate when the first body rotates. In another aspect, a load lock chamber having a substrate support that supports a substrate placed thereon includes a cooling plate that is moved to actuate at least one alignment mechanism. The alignment mechanism includes a pushing member that urges the substrate in a first direction towards a center of the support. The pushing member may additionally rotate about an axis perpendicular to the first direction.
    Type: Grant
    Filed: November 16, 2004
    Date of Patent: June 15, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Suhail Anwar, Toshio Kiyotake, Hung T. Nguyen
  • Publication number: 20100143081
    Abstract: A semiconductor manufacturing apparatus includes a load port supporting a FOUP holding a plurality of wafers, a process module performing a semiconductor manufacturing process on the plurality of wafers, an equipment front end module disposed between the load port and the process module, providing a clean area, and including an opener for opening and closing a door of the FOUP, a transfer module sequentially transferring the plurality of wafers between the FOUP and the process module, and a purge module spraying a purge gas toward the plurality of wafers in the FOUP when the door is open to connect the equipment front end module and the FOUP, so as to make gases released from the plurality of wafers be recovered into the equipment front end module.
    Type: Application
    Filed: November 24, 2009
    Publication date: June 10, 2010
    Inventors: Hyeong-seob Oh, Yohan Ahn, Hyeong-Ki Kim, Ki-Doo Kim, Woo-Yong Lee, Min-Seon Lee
  • Publication number: 20100135753
    Abstract: A load port is disclosed which allows a wafer to be transferred between the inside of a FOUP and the inside of a semiconductor fabrication apparatus even during a purge operation. The load port is provided adjacent the semiconductor fabrication apparatus in a clean room and includes a purge stage having a purge port through which a gas atmosphere in the FOUP is replaced into nitrogen gas or dry air, an opener stage provided in a juxtaposed relationship with the purge stage and having an opening communicating with the inside of the semiconductor fabrication apparatus and a door section capable of opening and closing the opening, and a moving mechanism for moving the FOUP between the purge stage and the opener stage.
    Type: Application
    Filed: December 1, 2009
    Publication date: June 3, 2010
    Applicant: SINFONIA TECHNOLOGY CO., LTD.
    Inventors: Mitsuo Natsume, Shin Kawahisa, Takumi Mizokawa
  • Publication number: 20100119337
    Abstract: Embodiments of the invention provide a thermal processing system and methods for uniformly heating and/or cooling a semiconductor wafer. Embodiments of the invention may be applied to provide a more uniform temperature profile when processing 300 mm and larger wafers having different curvature profiles that occur at the same and/or different points in a manufacturing cycle. Wafer curvature can be dependent on the number and thickness of the metal layers.
    Type: Application
    Filed: November 7, 2008
    Publication date: May 13, 2010
    Applicant: TOKYO ELECTRON LIMITED
    Inventor: Andrew Wallmueller
  • Publication number: 20100119351
    Abstract: A system and method for reducing particulate contamination during the loading and unloading of semiconductor substrates into a load lock chamber of a semiconductor processing tool provides one or more pressure sensors that measure the actual ambient pressure in the fabrication facility or within a discrete environment within the fabrication facility and determine a crossover pressure to be used to open a load lock chamber door after the load lock is vented. The crossover pressure is determined by an input indicating a relationship between the desired crossover pressure and a detected ambient pressure. The crossover pressure may be identical to, greater than, or less than the measured ambient pressure. The ambient pressure may be measured on an ongoing or real-time basis.
    Type: Application
    Filed: November 13, 2008
    Publication date: May 13, 2010
    Inventors: Xiao-Jun Liu, Ron Hou
  • Publication number: 20100098518
    Abstract: A load lock chamber sized for a large area substrate is provided. The load lock chamber includes a housing comprising a door and a body having at least two sealable ports, a movable door associated with at least one of the sealable ports, and a door actuation assembly coupled between the door and the housing. The door actuation assembly further includes a pair of first actuators coupled to the door for moving the door in a first direction, and a pair of second actuators for moving the door in a second direction that is orthogonal to the first direction.
    Type: Application
    Filed: October 20, 2008
    Publication date: April 22, 2010
    Applicant: APPLIED MATERIALS, INC.
    Inventors: Hung T. Nguyen, George Tzeng, Daniel I. Handjojo, Lawrence T. Nguyen, Jonathan Cerezo
  • Publication number: 20100054905
    Abstract: The present invention generally includes a load lock chamber for transferring large area substrates into a vacuum processing chamber. The load lock chamber may have one or more separate, environmentally isolated environments. Each processing environment may have a plurality exhaust ports for drawing a vacuum. The exhaust ports may be located at the corners of the processing environment. When a substrate is inserted into the load lock chamber from the factory interface, the environment may need to be evacuated. Due to the exhaust ports located at the corners of the environment, any particles or contaminants that may be present may be pulled to the closest corner and out of the load lock chamber without being pulled across the substrate. Thus, substrate contamination may be reduced.
    Type: Application
    Filed: August 27, 2008
    Publication date: March 4, 2010
    Inventors: MEHRAN BEHDJAT, SHINICHI KURITA, MAKOTO INAGAWA, SUHAIL ANWAR
  • Patent number: 7665951
    Abstract: Embodiments of the invention include a load lock chamber, a processing system having a load lock chamber and a method for transferring substrates between atmospheric and vacuum environments. In one embodiment, the method includes maintaining a processed substrate within a transfer cavity formed in a chamber body for two venting cycles. In another embodiment, the method includes transferring a substrate from a transfer cavity to a heating cavity formed in the chamber body, and heating the substrate in the heating cavity. In another embodiment, a load lock chamber includes a chamber body having substrate support disposed in a transfer cavity. The substrate support is movable between a first elevation and a second elevation. A plurality of grooves are formed in at least one of a ceiling or floor of the transfer cavity and configured to receive at least a portion of the substrate support when located in the second elevation.
    Type: Grant
    Filed: June 2, 2006
    Date of Patent: February 23, 2010
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Suhail Anwar, Jae-Chull Lee
  • Publication number: 20100014946
    Abstract: An apparatus and process for transporting solid particulate matter from a lower pressure vessel to a higher pressure vessel are disclosed. The apparatus includes a flow control vessel disposed between the lower and higher pressure vessels with valves for transferring solids between the vessels.
    Type: Application
    Filed: September 23, 2009
    Publication date: January 21, 2010
    Applicant: UOP LLC
    Inventor: Leon Yuan
  • Publication number: 20090297299
    Abstract: A combination of a FOUP (front opening unified pod) system and a reticle system utilized for the transport of wafers and a reticle system, the latter of which are used for transporting reticles from a first fabrication site to a further site at another location, and which provides for a unified system enabling the automated and trackable delivery of the reticles between these sites. Provided is a modified FOUP base structure, which is adapted to retain a reticle and to be able to employ existing equipment in a fabrication site which only necessitates a minimal modification of the equipment in order to render the latter universally adaptable to the combination of the systems.
    Type: Application
    Filed: August 7, 2009
    Publication date: December 3, 2009
    Applicant: INTERNATIONAL BUSINESS MACHINES CORPORATION
    Inventors: Philip L. Campbell, David J. Pinckney, Edward Sherwood, Uldis A. Ziemins
  • Publication number: 20090279989
    Abstract: Methods and systems to optimize wafer placement repeatability in semiconductor manufacturing equipment using a controlled series of wafer movements are provided. In one embodiment, a preliminary station calibration is performed to teach a robot position for each station interfaced to facets of a vacuum transfer module used in semiconductor manufacturing. The method also calibrates the system to obtain compensation parameters that take into account the station where the wafer is to be placed, position of sensors in each facet, and offsets derived from performing extend and retract operations of a robot arm. In another embodiment where the robot includes two arms, the method calibrates the system to compensate for differences derived from using one arm or the other. During manufacturing, the wafers are placed in the different stations using the compensation parameters.
    Type: Application
    Filed: May 7, 2008
    Publication date: November 12, 2009
    Applicant: Lam Research Corporation
    Inventors: Scott Wong, Jeffrey Lin, Andrew D. Bailey, III, Jack Chen, Benjamin W. Mooring, Chung Ho Huang
  • Patent number: 7611319
    Abstract: In at least one aspect, a system is provided that includes (1) a substrate carrier having first docking features; and (2) a loadport having second docking features. The second docking features are adapted to block docking of substrate carriers that do not include the first docking features and to allow docking of substrate carriers that include the first docking features. Numerous other aspects are provided.
    Type: Grant
    Filed: June 16, 2005
    Date of Patent: November 3, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Vinay Shah, Martin R. Elliott, Jeffrey C. Hudgens, Eric Andrew Englhardt
  • Patent number: 7611322
    Abstract: There is described a wafer processing system for thinned wafers that are easily broken during handling. The system protects against breakage during handling and provides for temperature controls during processing.
    Type: Grant
    Filed: August 31, 2005
    Date of Patent: November 3, 2009
    Assignee: Intevac, Inc.
    Inventors: Terry Bluck, Stuart Scollay, Edric Tong
  • Publication number: 20090263214
    Abstract: A silicon-based wafer such as a TSV interposer wafer having a first and second surfaces wherein a glass carrier is mounted on the second surface by a UV tape is held by a vacuum holder applied on the first surface and the glass carrier is removed from the silicon-based wafer by irradiating the UV tape with a UV light through the glass carrier. The silicon-based wafer is then flipped and placed onto a vacuum plate and secured to the vacuum plate by applying vacuum to the vacuum plate. The vacuum holder is then released from the silicon-based wafer leaving the silicon-based wafer secured to the vacuum plate for subsequent processing steps.
    Type: Application
    Filed: April 22, 2008
    Publication date: October 22, 2009
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Chien-Hsiun Lee, Chen-Shien Chen, Mirng-Ji Lii, Tjandra Winata Karta
  • Patent number: 7600960
    Abstract: The method invented is based on sluice system according to which the product is first conveyed through a portioning device, which produces a sequence of uniform product portions divided by uniform particle free spaces, and subsequently the product portions are conveyed individually through a sluice device, which comprises at least one sluice chamber and two pressure locks of which at least one at any time secures a pressure tight barrier between the two pressure zones, and the product portions are force loaded from the first zone into a sluice chamber by means of a piston screw, the axis of which is practically in line with the axis of the sluice chamber, and the product portions are force unloaded from the sluice chamber and into the second pressure zone by means of said piston screw or a piston or by means of gas, steam or liquid supplied at a pressure higher than that of the second pressure zone.
    Type: Grant
    Filed: July 22, 2002
    Date of Patent: October 13, 2009
    Inventors: Lena H. Christensen, Borge H. Christensen
  • Publication number: 20090252591
    Abstract: An in-line apparatus includes a loader chamber loading and unloading a substrate, a plurality of process chambers coupled in series to the loader chamber, and respectively and sequentially performing predetermined processes for the substrate, and at least one buffer chamber disposed in parallel to the process chambers, wherein the buffer chamber replaces at least one process chamber to transfer the substrate therethrough.
    Type: Application
    Filed: April 1, 2009
    Publication date: October 8, 2009
    Inventor: Nak-Do JUNG
  • Publication number: 20090175706
    Abstract: A detection method of a wafer pod includes performing an airtight test to the bolt hole on the cam of the wafer pod and determining the cam is abnormal when a result of the airtight test reveals that the bolt hole has an air-leak defect, wherein the bolt hole is positioned in back of the bolt of the cam.
    Type: Application
    Filed: January 7, 2008
    Publication date: July 9, 2009
    Inventors: Nien-Lu Lee, Jui-Te Wu, Chia-Hsiung Chen
  • Publication number: 20090142164
    Abstract: An FIMS system in which loading is possible in a manner in which multiple thin pods are vertically stacked together, in which attraction pads are arranged on plates on which the pods are loaded, the pods being retained by and fixed to the plates through attraction and retention by the pads. A tube for imparting an attraction force to the attraction pads is accommodated in an accommodation space inside box-like member of a minimum requisite space thickness, and the region where the tube can be reflected only exists within the accommodation space.
    Type: Application
    Filed: November 24, 2008
    Publication date: June 4, 2009
    Applicant: TDK CORPORATION
    Inventors: Toshihiko MIYAJIMA, Hiroshi Igarashi
  • Publication number: 20090142167
    Abstract: Provided are apparatuses and methods disclosed for wafer processing. Specific embodiments include dual wafer handling systems that transfer wafers from storage cassettes to processing modules and back and aspects thereof. Stacked independent loadlocks that allow venting and pumping operations to work in parallel and may be optimized for particle reduction are provided. Also provided are annular designs for radial top down flow during loadlock vent and pumpdown.
    Type: Application
    Filed: November 30, 2007
    Publication date: June 4, 2009
    Inventors: Chris Gage, Shawn Hamilton, Sheldon Templeton, Keith Wood, Damon Genetti
  • Publication number: 20090142163
    Abstract: Methods and systems for positioning wafers using a dual side-by-side end effector robot are provided. The methods involve performing place moves using dual side-by-side end effector robots with active wafer position correction. According to various embodiments, the methods may be used for placement into a process module, loadlock or other destination by a dual wafer transfer robot. The methods provide nearly double the throughput of a single wafer transfer schemes by transferring two wafers with the same number of moves.
    Type: Application
    Filed: November 30, 2007
    Publication date: June 4, 2009
    Inventors: Damon Genetti, Shawn Hamilton, Rich Blank, Sheldon Templeton
  • Publication number: 20090129897
    Abstract: A substrate processing system including a processing section arranged to hold a processing atmosphere therein, a carrier having a shell forming an internal volume for holding at least one substrate for transport to the processing section, the shell being configured to allow the internal volume to be pumped down to a predetermined vacuum pressure that is different than an exterior atmosphere outside the substrate processing system, and a load port communicably connected to the processing section to isolate the processing atmosphere from the exterior atmosphere, the load port being configured to couple with the carrier to pump down the internal volume of the carrier and to communicably connect the carrier to the processing section, for loading the substrate into the processing section through the load port.
    Type: Application
    Filed: May 19, 2008
    Publication date: May 21, 2009
    Applicant: Brooks Automation, Inc.
    Inventors: Daniel Babbs, William Fosnight, Robert C. May, William Weaver
  • Publication number: 20090120584
    Abstract: A semiconductor processing system is described. The system includes a processing chamber having an interior capable of holding an internal chamber pressure below ambient atmospheric pressure. The system also includes a pumping system coupled to the chamber and adapted to remove material from the processing chamber. The system further includes a substrate support pedestal, where the substrate support pedestal is rigidly coupled to a substrate support shaft extending through a wall of the processing chamber. A bracket located outside the processing chamber is provided which is rigidly and sometimes rotatably coupled to the substrate support shaft. A motor coupled to the bracket can be actuated to vertically translate the substrate support pedestal, shaft and bracket from a first position to a second position closer to a processing plate.
    Type: Application
    Filed: March 31, 2008
    Publication date: May 14, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Dmitry Lubomirsky, Toan Q. Tran, Lun Tsuei, Manuel A. Hernandez, Kirby H. Floyd, Ellie Y. Yieh
  • Publication number: 20090110518
    Abstract: An electronic device manufacturing system is disclosed. The system includes a processing tool having one or more processing chambers each adapted to perform an electronic device manufacturing process on one or more substrates; a substrate carrier adapted to couple to the system and carry one or more substrates; and a component adapted to create a sealed environment relative to at least a portion of the substrate carrier and to substantially equalize the sealed environment with an environment within the substrate carrier. Methods of the invention are described as are numerous other aspects.
    Type: Application
    Filed: October 23, 2008
    Publication date: April 30, 2009
    Applicant: Applied Materials, Inc.
    Inventors: Michael Robert Rice, Jeffrey C. Hudgens
  • Patent number: 7521089
    Abstract: Method and apparatus for controlling the migration of reaction by-product gases from a chemical vapor deposition (CVD) process chamber to a transfer vacuum chamber shared by other process chambers. Separate regulated flows of purge gas are provided to the CVD process chamber and the transfer vacuum chamber before establishing a pathway for substrate transfer. A pressure differential is created between the transfer vacuum chamber and the CVD process chamber that reduces or prevents the migration of CVD reaction by-product gases arising from the establishment of the substrate transfer pathway. While the pathway is established, a directional flow of purge gas is maintained from the transfer vacuum chamber into the CVD process chamber.
    Type: Grant
    Filed: June 13, 2002
    Date of Patent: April 21, 2009
    Assignee: Tokyo Electron Limited
    Inventors: Joseph T. Hillman, John G. North, Steven P. Caliendo, John J. Hautala