Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 8074597
    Abstract: In a first aspect, a substrate carrier is provided that includes an enclosure adapted to be sealable and to house at least one substrate. The substrate carrier includes a first port leading into the enclosure and adapted to allow a flow of gas into the enclosure while the substrate carrier is closed. Numerous other aspects are provided.
    Type: Grant
    Filed: January 11, 2007
    Date of Patent: December 13, 2011
    Assignee: Applied Materials, Inc.
    Inventors: Vinay K. Shah, Eric Englhardt, Jeffrey C. Hudgens, Martin Elliott
  • Patent number: 8057151
    Abstract: The FOUP door positioning device of a FOUP opener is compatible with all kinds of FOUPs, is inexpensive, and has a simple positioning-ping adjustment mechanism. In a state in which a flange portion (a portion having a taper 13) of a proximal end portion of a positioning pin 10 is seated in a recess portion 31 of a port door 30, and an air suction bore 14 of the positioning pin 10 and an air suction bore 32 of the port door 30 are aligned with each other, a pin insertion hole 23 of a suction pad 20 is fitted to a straight portion 11 of the positioning pin 10, and the suction pad 20 is screwed to the port door 30. By this procedure, the suction pad 20 is attached to the outer surface of the port door 30, and a portion of the suction pad 20 which is sunk into a recess portion 31 presses the flange portion of the proximal end portion of the positioning pin 10. A reinforcing metal plate 25 is embedded in a base portion 21 of the suction pad 20.
    Type: Grant
    Filed: April 11, 2006
    Date of Patent: November 15, 2011
    Assignee: Hirata Corporation
    Inventors: Sinji Yokoyama, Youichi Matsushita
  • Patent number: 8016537
    Abstract: A structure is provided in which a load lock chamber (51) for carrying in an unprocessed wafer from outside and carrying out a processed wafer to outside, a first end conveyance chamber (54a) to be connected to the load lock chamber, at least one intermediate conveyance chamber (54b), a plurality of sets of a pair of process modules (52a, 52b) provided adjacent to each other and capable of independent processing, and a second end conveyance chamber (54c) disposed at the end part on the opposite side of the load lock chamber are connected in series. Each set of process modules (52a, 52b, 52c and 52d) is arranged one by one between the first end conveyance chamber and the intermediate conveyance chamber, between the intermediate conveyance chambers, and between the intermediate conveyance chamber and the second end conveyance chamber, respectively.
    Type: Grant
    Filed: March 31, 2010
    Date of Patent: September 13, 2011
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Einstein Noel Abarra, David Djulianto Djayaprawira, Yasumi Kurematsu
  • Patent number: 7972755
    Abstract: There is disclosed a substrate processing method by a multi-patterning technique, which comprises a lithography process and an etching process, each of the processes is performed to one substrate at least twice. The substrate processing method is performed by using a substrate processing system comprising a plurality of process units for performing respective steps of the lithography process. When a second lithography process is performed to a substrate, process unit(s) for performing one or more steps of the second lithography process to be used in the second lithography process is automatically selected based on the process history of the first lithography process in such a way that the process unit(s) to be used in the second lithography process is (are) identical to the processed unit(s) used in the first lithography process.
    Type: Grant
    Filed: November 14, 2007
    Date of Patent: July 5, 2011
    Assignee: Tokyo Electron Limited
    Inventor: Yuichi Yamamoto
  • Patent number: 7935185
    Abstract: A clean gas circulates to pass through a loading area provided below a vertical heat treatment furnace. The clean gas unidirectionally flows through the loading area. After completion of wafer processing, a wafer boat lowers from the heat treatment furnace to the loading area, where the wafers are removed from the wafer boat. Subsequently, a clean gas jetting nozzle arranged in the loading area jets a clean gas toward the emptied wafer boat. Fragment of thin film which may readily peel off are blown away from the wafer boat, and are discharged out of the loading area together with the unidirectional flow. Thus, it is possible to avoid wafer contamination due to the unexpected peel-off of thin film fragments from the wafer boat.
    Type: Grant
    Filed: September 20, 2005
    Date of Patent: May 3, 2011
    Assignees: Kabushiki Kaisha Toshiba, Tokyo Electron Limited
    Inventors: Shinji Miyazaki, Hiroki Fukushima
  • Patent number: 7896563
    Abstract: A photo spinner apparatus, including a spin coater for coating a plurality of wafers with photoresist, a bake device for hardening the photoresist coated by the spin coater, a developer for developing the photoresist hardened in the bake device, a transfer unit for transferring the plurality of wafers between the developer, the bake device, and the spin coater, and an indexer including a wafer carrier loader to vertically stack a plurality of wafer carriers into which the plurality of wafers transferred by the transfer unit are loaded.
    Type: Grant
    Filed: January 7, 2009
    Date of Patent: March 1, 2011
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Yong-Su Kim
  • Patent number: 7896602
    Abstract: An improved stocker configuration for storing workpieces in a fabrication facility is disclosed, employing workpiece compartments arranged stationarily around a robot handling assembly. The robot handler can be designed with three degrees of freedom, to improve speed, throughput and minimum minimum particle generation. In addition, the stocker storage area is stationary with the movable components are the robot assembly, thus further contributing to the cleanliness of the storage stocker. The stocker configuration can be open storage area for fast access, space saving and ease of clean air purging. The stocker configuration can provide highly dense workpiece storage, utilizing a circumferential edge gripper robot handling assembly.
    Type: Grant
    Filed: June 9, 2007
    Date of Patent: March 1, 2011
    Inventor: Lutz Rebstock
  • Patent number: 7874782
    Abstract: A wafer transfer apparatus is provided. In a minimum transformed state where a robot arm is transformed such that a distance defined from a pivot axis to an arm portion, which is farthest in a radial direction relative to the pivot axis, is minimum, a minimum rotation radius R, is set to exceed ½ of a length B in the forward and backward directions of an interface space, the length B corresponding to a length between the front wall and the rear wall of the interface space forming portion, and is further set to be equal to or less than a subtracted value obtained by subtracting a distance L0 in the forward and backward directions from the rear wall of the interface space forming portion to the pivot axis, from the length B in the forward and backward directions of the interface space (i.e., B/2<R?B?L0).
    Type: Grant
    Filed: July 18, 2007
    Date of Patent: January 25, 2011
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventor: Yasuhiko Hashimoto
  • Patent number: 7851721
    Abstract: A device handler for testing and sorting electronic devices has a testing station operative to test the electronic devices and to classify them according to different binning characteristics. A buffer assembly receives electronic devices which have been classified at the testing station, and the buffer assembly further comprises a first loading region having a plurality of receptacles and a second loading region having a plurality of receptacles. An output station is operative to unload electronic devices according to their different binning characteristics from either one of the first or second loading region of the buffer assembly for storage while electronic devices are being loaded onto the other loading region.
    Type: Grant
    Filed: February 17, 2009
    Date of Patent: December 14, 2010
    Assignee: ASM Assembly Automation Ltd
    Inventors: Chak Tong Albert Sze, Pei Wei Tsai, Ho Yin Wong, Tin Yi Chan
  • Patent number: 7826924
    Abstract: An autoloader system with modularization architecture and self-adaptive motion control ability for mass optical disks duplication includes four physical modules: a robot arm module with sensors and joints dedicates for delivering and picking up optical disks; an optical disk duplication tower has a number of optical drives in a stack, or a matrix for optical disk duplication; a motion control module has an embedded motion controller and a power source to synchronize the motion of robot arm and duplication; a platform module has a base frame to fix other modules and a user interface. Some disk stacks are situated on top of platform module. The use of a self-adaptive control algorithm, consisting of a Motion Strategy Database, Initial Process, Motion Planning Process, Motion Generation Process and Motion Monitor Process, to ascertain system configurations and components furthest satisfy the required flexibility for modifying/upgrading hardware or ever-changing user needs.
    Type: Grant
    Filed: May 10, 2006
    Date of Patent: November 2, 2010
    Assignee: Vinpower, Inc.
    Inventors: Mingji Lou, Chia Chun Cheng, Shuo Wei Chang, Weicheng Chu, Chin-han Chou
  • Patent number: 7810645
    Abstract: A platform for securely mounting a wafer cassette holder thereon is provided which includes a wafer cassette holder that has a flat bottom surface and four sidewall panels, a platform that has a flat top surface larger than and for mating to the flat bottom surface of the wafer cassette holder, And a securing device mounted in the flat top surface of the platform juxtaposed to each side of the four sidewalls of the wafer cassette holder for preventing the holder from accidentally slipping off the platform, the securing device may include either a plurality of engagement pins that slidingly engages the wafer cassette holder for securely holding the holder on the platform, or a plurality of side panels mounted along the peripheral edge of the platform for preventing the holder from slipping off the platform.
    Type: Grant
    Filed: July 3, 2002
    Date of Patent: October 12, 2010
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Chih-Hung Huang, Ching-Chiang Chang, Yu-Yen Chang, Pei-Yi Kuo, Kuo-Chen Lin, Chung-Yi Lee
  • Patent number: 7806648
    Abstract: A conveyor 4 for transporting a reticle cassette 18 is provided. A lifter 16 of a rail vehicle 14 lifts the reticle cassette 18 for transferring the reticle cassette 18 between the inside of a dummy FOUP 22 and the conveyor 4.
    Type: Grant
    Filed: April 9, 2007
    Date of Patent: October 5, 2010
    Assignee: Murata Kikai Kabushiki Kaisha
    Inventor: Yasuhisa Ito
  • Patent number: 7791720
    Abstract: Apparatus and methods for verification of the dimensions of a semiconductor manufacturing peripheral are disclosed, in which the peripheral, e.g., a wafer cassette, is positioned between, and is enveloped by, an emitter housing and an opposing receiver housing adapted for emitting and receiving, respectively, light from a selected portion of the electromagnetic spectrum, preferably infrared. The measured light is used to verify the dimensions of the target peripheral in comparison with a pre-selected standard.
    Type: Grant
    Filed: December 31, 2007
    Date of Patent: September 7, 2010
    Assignee: Texas Instruments Incorporated
    Inventor: Mohd Zuraimi Md Yusof
  • Patent number: 7736436
    Abstract: An edge ring for use in batch thermal processing of wafers supported on a vertical tower within a furnace. The edge rings are have a width approximately overlapping the periphery of the wafers and are detachably supported on the towers equally spaced between the wafer to reduce thermal edge effects. The edge rings have may have internal or external recesses to interlock with structures on or adjacent the fingers of the tower legs supporting the wafers or one or more steps formed on the lateral sides of the edge ring may slide over and then fall below a locking ledge associated with the support fingers. Preferably, the tower and edge ring and other parts of the furnace adjacent the hot zone are composed of silicon.
    Type: Grant
    Filed: January 11, 2006
    Date of Patent: June 15, 2010
    Assignee: Integrated Materials, Incorporated
    Inventors: Tom L. Cadwell, Ranaan Zehavi, Michael Sklyar
  • Patent number: 7717661
    Abstract: A compact multiple diameter wafer testing device with a footprint of about 33 by 34 inches features on-chuck wafer calibration and integrated cassette-chuck transfer. It includes a five axes wafer handling system, a quick exchange chuck and a fixed through beam sensor fixed. Two of the five axes are provided by an X-Y stage, a third axis is provided by a rotary stage on top of the X-Y stage, a fourth axis belongs to a rotating effector and a fifth axis is provided by motion controlled pin lifters all combined with the X-Y stage. The quick exchange chuck may be easily changed for different wafer diameters and also calibrated by the through beam sensor. The through beam sensor provides on-chuck position calibration of the chucked wafers in conjunction with the X-Y stage and rotary stage. The compact wafer testing device handles wafers between six and twelve inches diameter.
    Type: Grant
    Filed: May 25, 2006
    Date of Patent: May 18, 2010
    Assignee: n&k Technology, Inc.
    Inventors: Marc T. Aho, Thaddeus J. Wilson
  • Patent number: 7665988
    Abstract: Provided is a complex sintering furnace to sequentially perform a bake-out process and a sintering process for molding ceramic products. The complex sintering furnace includes: a support frame; a pair of furnace bodies supported on the top of the support frame and having a built-in heat insulator covered by a disc-shaped cover; a pair of rails arranged under the support frame in parallel in a length direction along arrangement of the furnace bodies; a rotating base horizontally transferred along the rails and rotatably connected to bottoms of the furnace bodies when the rotating base is vertically transferred directly under the bottoms of the furnace bodies, the rotating base including a separately rotating setter mounted the top thereof; and an ascending/descending apparatus mounted under the rotating bases and having a ball-screw type rotation shaft to vertically ascend/descend the rotating base at the same time of the separate rotation of the setters.
    Type: Grant
    Filed: June 12, 2007
    Date of Patent: February 23, 2010
    Assignee: Samsung Electro-Mechanics Co., Ltd.
    Inventors: Young Mo Kim, Tae Shin Kim, Jong Hwa Lee, Jeong Woon Lee
  • Patent number: 7648327
    Abstract: The present invention is a wafer engine for transporting wafers. The wafer engine includes a linear drive for moving the wafer along an x axis, a rotational drive for rotating the wafer about a theta axis, a linear drive for moving the wafer along a z axis, and a linear drive for moving the wafer along a radial axis. The linear drive for moving the wafer along a z axis is offset from the rotational drive. When the rotational drive rotates about the theta axis, both the z axis and radial axis drives are also rotated about the theta axis. Preferably, the linear drive for moving the wafer along a radial axis is a dual or rapid swap slide body mechanism having an upper and lower end effector. The slide body mechanism preferably also has means to align the wafer and perform various inspection and marking procedures.
    Type: Grant
    Filed: December 16, 2005
    Date of Patent: January 19, 2010
    Assignee: Crossing Automation, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 7637708
    Abstract: The system includes a first conveying vehicle for holding and conveying wafers as products finished with an inspection step from a stock storage shelf group 11 to a reloading device 17, and a second conveying vehicle for holding and conveying a transporting container from a reloading device to a transporting storage shelf group: wherein a traveling course of the first conveying vehicle is provided on one side of the reloading device and a traveling course for the second conveying vehicle is provided on the other side; the reloading device includes a first mounting table for mounting the conveying container, a second mounting table for mounting the transporting container, and a reloading device for reloading wafers in the conveying container mounted on the first mounting table to the transporting container mounted on the second mounting table; and the installed number of the first mounting tables is larger than that of the second mounting tables.
    Type: Grant
    Filed: January 9, 2006
    Date of Patent: December 29, 2009
    Assignee: Sumco Corporation
    Inventors: Toshihiko Aoki, Shunichi Imao, Akihiko Kosugi, Kiichi Uchino
  • Patent number: 7585142
    Abstract: An apparatus for processing substrates may include a substrate handling chamber having a substrate load port on a side wall, and a movable platform movably engaged with the handling chamber between a first position and a second position. The first position is such that a substrate carrier on the movable platform is inaccessible by a substrate handling robot inside the chamber. The second position is near to the load port such that a substrate carrier on the movable platform is accessible by a substrate handling robot inside the handling chamber. The movable platform is configured to rotate about a generally vertical axis between the first and second positions.
    Type: Grant
    Filed: March 16, 2007
    Date of Patent: September 8, 2009
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Jeroen Stoutjesdijk
  • Patent number: 7578647
    Abstract: In a first aspect, an apparatus is provided for opening a substrate carrier door of a substrate carrier. The apparatus includes a supporting member adapted to (1) support the substrate carrier door at a load port; (2) allow removal of the door from the substrate carrier; and (3) pivot the removed door below a bottom surface of the substrate carrier. Numerous other aspects are provided.
    Type: Grant
    Filed: February 4, 2005
    Date of Patent: August 25, 2009
    Assignee: Applied Materials, Inc.
    Inventors: Martin R. Elliott, Michael R. Rice, Jeffrey C. Hudgens, Eric A. Englhardt, Victor Belitsky
  • Patent number: 7572092
    Abstract: A system for aligning an end effector with a substrate in a substrate transport apparatus. The system comprises a first sensor connected to the end effector and a controller for moving the substrate transport apparatus. The sensor has a sensing path pointed in an outward direction. The sensing path does not intersect the substrate when the substrate is located on the end effector. The controller for moving the substrate transport apparatus moves the substrate transport apparatus, based at least partially upon input from the sensor, to position the end effector at a predetermined position relative to the substrate to pick up the substrate onto the end effector.
    Type: Grant
    Filed: October 7, 2002
    Date of Patent: August 11, 2009
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher A. Hofmeister, Mark Saunders
  • Patent number: 7568875
    Abstract: In apparatus of the invention, a transport pod (1) can be coupled to an article-passing opening (11) of process equipment (9) in leaktight manner with sealing being provided by an interposed interface peripheral gasket (16). The pod door (4) can be secured selectively to the interface door (12) so that they can be moved together as a unit along an axial stroke followed by a transverse stroke under drive from door actuator means (14). The transport pod (1) is held by retaining means (15). The peripheral volume (21) around the two doors can be pumped out by means of a pump (22) and a duct (23). The pod door (4) can be locked on the transport door (1) by locking means (20) that guarantee good sealing while the transport pod (1) is separate from the process equipment (9). This ensures good sealing of the transport pod (1), and enables the doors (4, 12) to be opened under a vacuum without polluting the inside atmosphere.
    Type: Grant
    Filed: August 29, 2005
    Date of Patent: August 4, 2009
    Assignee: Alcatel
    Inventors: Catherine Le Guet, Jean-Pierre Desbiolles, Hisanori Kambara, Erwan Godot, Raphael Sylvestre
  • Patent number: 7559730
    Abstract: A cassette device for accepting substrates includes a frame, a plurality of slots protruding from opposing sides of the frame, and at least two supporting bars connected to at least two of the slots.
    Type: Grant
    Filed: September 30, 2003
    Date of Patent: July 14, 2009
    Assignee: LG Display Co., Ltd.
    Inventor: Si-Hyun Song
  • Patent number: 7520286
    Abstract: The invention provides an apparatus for cleaning and drying a container for semiconductor workpieces. The apparatus comprises a load port with a fixture that receives a dirty container and delivers it to a deck assembly with a carrier that removably receives the container for further handling. While the container is received by the carrier, a robot with a first end effector removes the container door and places it on a portion of the carrier. The robot includes a second end effector that engages the carrier and elevates the carrier and container for insertion into a process chamber. The process chamber includes a rotor with at least one receptacle wherein the rotor is rotated to create both high pressure and low pressure regions. Once the container and carrier are loaded into the rotor, the rotor is rotated and means for cleaning injects a processing fluid onto the container and carrier. After a rinse stage and while the rotor is rotating, the means for drying delivers air across the container and carrier.
    Type: Grant
    Filed: December 5, 2005
    Date of Patent: April 21, 2009
    Assignee: Semitool, Inc.
    Inventors: Jeffry Alan Davis, Randy A. Harris
  • Publication number: 20080298933
    Abstract: An apparatus includes a first enclosure, a first door, at least one first valve, at least one inlet diffuser and at least one substrate holder. The first enclosure has a first opening. The first door is configured to seal the first opening. The first valve is coupled to the first enclosure. The inlet diffuser is coupled to the first valve and configured to provide a first gas with a temperature substantially higher than a temperature of an environment around the first enclosure. Each substrate holder disposed within the first enclosure supports at least one substrate.
    Type: Application
    Filed: May 29, 2007
    Publication date: December 4, 2008
    Applicant: TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD.
    Inventors: Yi-Li Hsiao, Chen-Hua Yu, Jean Wang, Fu-Kang Tien, Jui-Pin Hung
  • Patent number: 7458469
    Abstract: In a substrate transfer apparatus, an adaptor unit 25 includes a box-shaped main body 40 having three open sides; and a plurality of stage arms 41 disposed in the main body 40, serving as substrate mounting members. Each of the stage arms 41 has a disc-shaped wafer supporting portion 411 disposed at an approximately central portion of the main body 40 and a flat body 412 disposed in the main body 40 so as not to interfere with the moving paths of transfer arms 241 and 341. Formed at a connecting portion of the wafer supporting portion 411 and the body 412 are cutout portions 413 for preventing interference between the stage arms 41 and two prongs of leading end portions of the transfer arms 241 and 341 introduced into the main body 40.
    Type: Grant
    Filed: September 16, 2005
    Date of Patent: December 2, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hiroki Hosaka, Toshihiko Iijima, Shinya Shimizu
  • Patent number: 7431551
    Abstract: A glass substrate distribution system includes a cassette, a first transmission mechanism, and a second transmission mechanism. The first transmission mechanism transmits the glass substrate to several standby positions outside the cassette. The second transmission mechanism transmits the glass substrate between the standby positions and the glass substrate.
    Type: Grant
    Filed: January 3, 2005
    Date of Patent: October 7, 2008
    Assignee: AU Optronics Corp.
    Inventor: Kai-Yu Liu
  • Patent number: 7422406
    Abstract: Methods and systems are provided for a vacuum-based semiconductor handling system. The system may be a linear system with a four-link robotic SCARA arm for moving materials in the system. The system may include one or more vertically stacked load locks or vertically stacked process modules.
    Type: Grant
    Filed: November 10, 2004
    Date of Patent: September 9, 2008
    Assignee: BlueShift Technologies, Inc.
    Inventor: Peter van der Meulen
  • Patent number: 7396199
    Abstract: A substrate processing apparatus for processing a substrate while transferring the substrate among a plurality of units with which the substrate is to be processed or on which the substrate is to be placed. This apparatus is provided with: a first unit group disposed along a linear first line; a second unit group disposed along a linear second line crossing the first line at a predetermined angle; and a transfer robot capable of transferring and receiving a substrate to and from the first unit group and the second unit group.
    Type: Grant
    Filed: December 23, 2002
    Date of Patent: July 8, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventors: Yoshihiro Koyama, Yasuhiro Mizohata
  • Patent number: 7393172
    Abstract: In a wafer transfer system wherein a wafer transfer robot linearly reciprocates by a linear motor, dust is prevented from adhering to a wafer. A fixed base 9, on which the secondary side 11 of a linear motor M for linearly reciprocating a wafer transfer robot R is mounted, is mounted on the system body 1 of a wafer transfer system A in lateral directions and in vertical directions, so that dust dropping in accordance with the flow of clean air K from a clean air supply system 4 is directly sucked into an exhaust fan 5, which is provided on the bottom portion 1c of the system body 1, to be exhausted without being deposited on the top face of the fixed base 9 and the secondary side 11.
    Type: Grant
    Filed: July 26, 2000
    Date of Patent: July 1, 2008
    Assignee: Tokyo Electron Limited
    Inventors: Hiroaki Saeki, Masaki Narushima, Tetsu Osawa, Yasushi Taniyama, Shuuji Hagiwara
  • Patent number: 7363107
    Abstract: A substrate transfer system is used in fabricating a liquid crystal display (LCD) device. The system includes a cassette having a bar code, a cassette stocker to store the cassette; an auto guided vehicle that is able to transfer the cassette; a moving path unit to determine a moving path of the auto guided vehicle, a plurality of process stages at which processes are conducted on a substrate during fabrication of the LCD device, and a host to control the cassette stocker, the auto guided vehicle and the process stages. At least one of the auto guided vehicle and the cassette stocker having a bar code reader.
    Type: Grant
    Filed: September 29, 2006
    Date of Patent: April 22, 2008
    Assignee: LG.Philips LCD Co., Ltd.
    Inventors: Kyung-Su Chae, Hyun-Ho Song
  • Patent number: 7357846
    Abstract: In a resist-removing process system 1 for removing a resist film formed on a wafer W, the resist film is denatured so as to make the resist film soluble in water and, then, the resist film is removed from the wafer by applying a water-wash processing to the denatured resist film. A series of the denaturing processing and the water-wash processing are carried out such that the denaturing processing of the resist film, which requires a long processing time, is performed by alternately using batch type resist-denaturing process units 21a and 21b each permitting a plurality of wafers W to be processed simultaneously, and the water-wash processing requiring a processing time shorter than that of the denaturing processing of the resist film is carried out by simultaneously operating six water-wash process units 22a to 22f each applying a water-wash processing to the wafers W one by one.
    Type: Grant
    Filed: July 27, 2004
    Date of Patent: April 15, 2008
    Assignee: Tokyo Electron Limited
    Inventor: Sadayuki Fujishima
  • Patent number: 7335090
    Abstract: A substrate processing apparatus includes: a carrier holding unit for holding a carrier which houses a substrate; a substrate holding mechanism for holding a substrate when a predetermined process is executed on the substrate; and a substrate transfer mechanism for transferring a substrate between the substrate holding mechanism and the carrier held by the carrier holding unit. The substrate holding mechanism has a first substrate contacting member which comes in contact with the substrate when the substrate holding mechanism holds the substrate, and at least the substrate contacting portion of the first substrate contacting member includes a conductive portion, which is electrically grounded.
    Type: Grant
    Filed: February 27, 2007
    Date of Patent: February 26, 2008
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Hiroaki Takahashi
  • Patent number: 7334979
    Abstract: A substrate transfer apparatus (100) includes a base (40), first and second cassettes (1, 2), a adjustable cassette (6), and a push unit (50). The adjustable cassette (6) is between the first and second cassettes, and has a pair of side walls (640), a top wall (630) interconnecting the side walls, and a height-adjusting mechanism (63). The base supports the first and second cassettes and the adjustable cassette thereon, and defines a central slide groove (41) therein. The push unit includes a slide portion (550) and an urging portion (500). The slide portion can slide in the central slide groove. The height-adjusting mechanism can adjust a height of the adjustable cassette according to the height of the first cassette and the height of second cassette. The urging portion can push substrates in the first cassette into the adjustable cassette and further into the second cassette.
    Type: Grant
    Filed: November 24, 2004
    Date of Patent: February 26, 2008
    Assignee: Innolux Display Corp.
    Inventors: Cheng Doul Chuang, Chien-Sung Deng, Hung-Wen Yang
  • Patent number: 7279067
    Abstract: In a port structure 16A in a semiconductor processing system 2, a door 20A is disposed in a port 12A defined by upright wall 52 and 54. A table 48 opposed to the port is disposed outside the system. Defined on the table is a mount region 76 for mounting an open type cassette 18A for a process subject substrate W. A hood 50 is disposed rotatable relative to the table. The hood defines in its closed position a closed space surrounding the mount region and port, the space having a size to receive the cassette. First ventholes 58 are formed in the upright walls and/or the door so as to introduce gas from within the system into the closed space in the hood. Second ventholes 72 are formed in the table so as to discharge the gas can be discharged out of the closed space.
    Type: Grant
    Filed: February 17, 2003
    Date of Patent: October 9, 2007
    Assignee: Tokyo Electron Limited
    Inventors: Tetsuo Yoshida, Yoshiaki Sasaki, Hiroaki Saeki, Yasushi Taniyama, Hiroshi Takizawa
  • Patent number: 7278813
    Abstract: An automated processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress wafers or articles. Process chambers are located in the process bay. A transfer robot moves wafers from a pod unsealed at a docking station into a carrier at a transfer station. The carrier has tapered or stepped outside surfaces engaging corresponding inside surfaces on a rotor within a process chamber. A process robot moves between the indexer bay and process bay to carry wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Grant
    Filed: December 30, 2002
    Date of Patent: October 9, 2007
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Gordon Ray Nelson, Daniel P. Bexten
  • Patent number: 7260448
    Abstract: A substrate holding device includes: holding members configured to hold substrates, the holding members being arranged along a predetermined arranging direction and being movable along the arranging direction; an interlocking mechanism interlocking the holding members for simultaneous coordinate movement along the arranging direction to change intervals between the holding members with respect to the arranging direction; driving unit for driving the holding members for shifting at least along the arranging direction; and control unit for controlling the driving unit to shift the holding members to substrate taking positions where the holding members are able to hold substrates, respectively, in accordance with a mapping information about a condition of arrangement of the substrates arranged along the arranging direction.
    Type: Grant
    Filed: October 7, 2004
    Date of Patent: August 21, 2007
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventors: Hirohiko Goto, Shiro Oda
  • Patent number: 7207766
    Abstract: A load lock chamber and method for transferring large area substrates is provided. In one embodiment, a load lock chamber suitable for transferring large area substrates includes a plurality of vertically stacked single substrate transfer chambers. The configuration of vertically stacked single substrate transfer chambers contributes to reduced size and greater throughput as compared to conventional state of the art, dual slot dual substrate designs. Moreover, the increased throughput has been realized at reduced pumping and venting rates, which corresponds to reduced probability of substrate contamination due to particulates and condensation.
    Type: Grant
    Filed: April 26, 2004
    Date of Patent: April 24, 2007
    Assignee: Applied Materials, Inc.
    Inventors: Shinichi Kurita, Wendell T. Blonigan, Yoshiaki Tanase
  • Patent number: 7198447
    Abstract: A semiconductor device producing apparatus is disclosed. The apparatus includes a carrier-holding stage for placing a carrier; first, second and third stages each for holding first and second boats one at a time, each boat holding one or more substrates; a boat transfer mechanism for transferring the boats among the first, second and third stages; and a substrate transfer mechanism for transferring the substrate(s) from the carrier to the boat held by the first stage. A controller controls the first stage, the boat transfer mechanism and the substrate transfer mechanism so that the boat transfer mechanism transfers one of the boats from the second stage to the first stage, the substrate transfer mechanism then transfers the substrate(s) from the carrier to the boat held by the first stage, and the first stage then moves the boat into the processing chamber for processing.
    Type: Grant
    Filed: February 6, 2003
    Date of Patent: April 3, 2007
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Kazuhiro Morimitsu, Tatsuhisa Matsunaga, Masanori Kaneko, Kouichi Noto, Hidehiro Yanagawa, Masaki Matsushima
  • Patent number: 7179044
    Abstract: A substrate handling robot includes an arm drive mechanism. A first arm is connected to the arm drive mechanism. A multiple substrate batch loader is connected to the first arm. A second arm is also connected to the arm drive mechanism. A single plane end effector is connected to the second arm. The multiple substrate batch loader produces a vacuum signal indicative of how many substrates are held by the multiple substrate batch loader. A vacuum signal interpreter alters the movement of the first arm in response to the substrate load number. An object sensor is connected to the second arm. The object sensor assesses the number of substrates in a cassette adjacent to the multiple substrate batch loader. A substrate loading sequence controller controls the first arm and the second arm in response to the number of substrates in the cassette, such that the second arm removes substrates from the cassette in such a manner as to facilitate complete loading of the multiple substrate batch loader.
    Type: Grant
    Filed: September 16, 2002
    Date of Patent: February 20, 2007
    Assignee: Brooks Automation, Inc.
    Inventors: James A. Cameron, Steven G. Reyling
  • Patent number: 7141120
    Abstract: A manufacturing apparatus of a semiconductor device includes an introducing section, a process section, and a withdrawing section. The introducing section introduces a transfer box therein. The process section takes in the semiconductor substrate put in the introducing section and applies a prescribed processing to the semiconductor substrate. Further, the withdrawing section is arranged on a surface differing from the surface on which the introducing section is arranged and discharges the transfer box holding the semiconductor substrate withdrawn from the process section of the semiconductor substrate.
    Type: Grant
    Filed: November 27, 2002
    Date of Patent: November 28, 2006
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Kunihiro Miyazaki
  • Patent number: 7139640
    Abstract: A substrate transfer system is used in fabricating a liquid crystal display (LCD) device. The system includes a cassette having a bar code, a cassette stocker to store the cassette; an auto guided vehicle that is able to transfer the cassette; a moving path unit to determine a moving path of the auto guided vehicle, a plurality of process stages at which processes are conducted on a substrate during fabrication of the LCD device, and a host to control the cassette stocker, the auto guided vehicle and the process stages. At least one of the auto guided vehicle and the cassette stocker having a bar code reader.
    Type: Grant
    Filed: December 5, 2003
    Date of Patent: November 21, 2006
    Assignee: LG.Philips LCD. Co., Ltd.
    Inventors: Kyung-Su Chae, Hyun-Ho Song
  • Patent number: 7134825
    Abstract: A device (10) for handling substrates (11) inside and outside a clean room (15) is provided with a locking transfer device (17), by which means a substrate cassette (12) that is accommodated in a box (13) in clean-room conditions can be removed from or placed in said box (13); and with a first handling device (51), by which means the substrates (11) can be placed in or removed from the cassette (12). According to the invention, a storage area (20) for a plurality of cassette boxes (13) is positioned on or above the clean room (15) and the locking transfer device (17) is provided between the storage area (20) and the clean room (15), so that various work and production steps can be combined in such a way as to save space.
    Type: Grant
    Filed: April 13, 2000
    Date of Patent: November 14, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Wolfgang Schmutz, Joseph Gentischer
  • Patent number: 7114908
    Abstract: An apparatus for stacking semiconductor wafers comprises a housing configured to releasably maintain a plurality of semiconductor wafers in fixed positions relative to the housing. The apparatus also includes a transfer guide proximate to the housing, the transfer guide configured to facilitate the transfer of the plurality of semiconductor wafers into the housing. Also included is a member configured to detach the semiconductor wafers from the housing so as to collect the semiconductor wafers into a stack.
    Type: Grant
    Filed: December 2, 2003
    Date of Patent: October 3, 2006
    Assignee: National Semiconductor Corporation
    Inventors: Roger Sarver, Dennis Moffat MacDonald
  • Patent number: 7115891
    Abstract: A wafer mapping device that recognizes the existence of wafers along with the descending and opening of a front door (2a) of a closed type clean container (2) in a state where the front door of the closed type clean container for mounting and housing wafers (4) on any one of or a plurality of shelves among a plurality of internal shelves is made tightly contact to a port door (13) of a load port (12), comprising a swinging member (22) coupled to the port door so as to swing around predetermined horizontal axes parallel to the port door, a pair of sensor portions (20) fixed to the swinging member and mutually separated as well as protruding towards the clean container from the swinging member above the port door, a light emitting device (20a) and light receiving device (20b) fixed one each to the pair of sensor portions and facing each other, and swinging drive means (25) for rotating the swinging member around the horizontal axis to an angle where the wafers enter between the light emitting device and the lig
    Type: Grant
    Filed: September 17, 2002
    Date of Patent: October 3, 2006
    Assignee: Rorze Corporation
    Inventor: Shoji Komatsu
  • Patent number: 7109509
    Abstract: For a device for the detection of substrates stacked at an opening of a wall element, there existed the problem of constructing the detection device in such a way that the detection of the position of the substrates can be performed more flexibly with respect to the course of measurement and the measuring method employed and a defined approach of a sensor system to the semiconductor substrate to be detected is ensured in a definite position of measurement with a lowered risk of particle generation. A transmitting and receiving device (11) consists of a vertical drive mechanism (10) mounted on the wall element (1) and a sensor head (13) that can be adjusted between a lower and an upper position by means of the vertical drive mechanism (10), said sensor head being arranged so as to pivot on the vertical drive mechanism (10) in order to pivot into the opening (4).
    Type: Grant
    Filed: October 23, 2003
    Date of Patent: September 19, 2006
    Assignee: Brooks Automation, Inc.
    Inventors: Ulysses Gilchrist, Louise S. Barriss, Hagen Raue, Berndt Lahne, Manfred Heinze, Joachim König, Klaus Schultz
  • Patent number: 7077173
    Abstract: When atmosphere inside a wafer carrier is replaced by introducing a gas into the wafer carrier from a gas inlet provided to the wafer carrier that can accommodate wafers. At the same time, the atmosphere inside the wafer carrier is sucked to make an inside pressure negative relative to an outside pressure.
    Type: Grant
    Filed: July 10, 2002
    Date of Patent: July 18, 2006
    Assignee: Renesas Technology Corp.
    Inventor: Kenji Tokunaga
  • Patent number: 7070379
    Abstract: A semiconductor fabrication apparatus, located in an apparatus installation area, includes a front-opening unified pod (FOUP) index, a plate, a first transfer device, a second transfer device, and an engineering FOUP index and multiple processing chambers. The FOUP index can be located in a line, vertically, horizontally, on top, and on bottom. The engineering FOUP index is used for manually locating the FOUP thereon in case of processing irregular single wafers that are not stored in a lot. As the FOUP index is located in the semiconductor fabrication apparatus installed in the apparatus installation area, dead space between the apparatus and air eddies generated above and below the FOUP index can be eliminated to increase the efficiency of working area and improve the environment in fabrication.
    Type: Grant
    Filed: May 22, 2002
    Date of Patent: July 4, 2006
    Assignee: Samsung Elctronics Co., Ltd.
    Inventor: Ki-Sang Kim
  • Patent number: 7066707
    Abstract: An integrated system is disclosed for workpiece handling and/or inspection at the front end of a tool. The system comprises a rigid member of unitary construction such as a metal plate which mounts to the front of a tool associated with a semiconductor process. The front end components, including the load port assemblies, prealigners and workpiece handling robot, are mounted to the plate to provide precise and repeatable positioning of the front end components with respect to each other.
    Type: Grant
    Filed: March 1, 2002
    Date of Patent: June 27, 2006
    Assignee: Asyst Technologies, Inc.
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: RE39241
    Abstract: Modular cartridges which may be inserted into and removed from a well or receptacle on the bottom of a pod. The cartridges may be configured to include various types and combinations of valves, filters, and/or conditioning agents. A standalone cartridge replacement station may be provided for inserting and removing various cartridges from one or more of the receptacles on the bottom of a pod while wafers are seated within the pod. The standalone replacement unit may decouple an existing cartridge from the pod by rotating the cartridge from a locked to an unlocked position and then lowering the cartridge out of the pod. Thereafter, the replacement station may insert a new cartridge up into the appropriate receptacle and rotate the cartridge into a locked position in the pod.
    Type: Grant
    Filed: November 20, 2003
    Date of Patent: August 22, 2006
    Assignee: Entegris, Inc.
    Inventor: William J. Fosnight