Including Means For Charging Or Discharging Wafer Cassette Patents (Class 414/937)
  • Patent number: 6696367
    Abstract: A substrate fabrication system is provided which includes a buffer station located inline between a front docking port and a loadlock chamber, the buffer station being operatively joined with a front handling chamber. Preferred embodiments employ a buffer station having a rack with reduced pitch, or relative spacing between shelves. Additional embodiments provide variable pitch end effectors as part of the disclosed fabrication system. Methods of fabricating wafers by quickly transferring them to purgeable buffer stations upon wafers arriving at a docking port are also provided.
    Type: Grant
    Filed: September 27, 2002
    Date of Patent: February 24, 2004
    Assignee: ASM America, Inc.
    Inventors: Ravinder Aggarwal, Jim Kusbel, Jim Alexander
  • Patent number: 6690993
    Abstract: A reticle storage system includes a reticle rack having a series of lateral slots, each for storing a reticle. Access to the reticles is provided on a lateral side of the rack. The enclosure has a series of doors for providing access to the reticles in the slots. An air circulation system flows filtered air past the reticles in the rack to prevent contaminants from accumulating on the reticles. The air circulation system is capable of providing positive air pressure within the enclosure with one door open, thereby preventing contaminants from entering the enclosure through the open door.
    Type: Grant
    Filed: June 27, 2001
    Date of Patent: February 10, 2004
    Assignee: R. Foulke Development Company, LLC
    Inventors: Richard F. Foulke, Richard F. Foulke, Jr., Cord W. Ohlenbusch, Takman Lui
  • Patent number: 6688840
    Abstract: A transport apparatus for transporting a carrier with a plurality of works placed thereon includes a loader for loading the carrier into a processing unit for performing a predetermined process for the works and a transporter for receiving the carrier loaded by the loader and intermittently transporting the carrier such that the plurality of works are sequentially positioned at a process position of the processing unit one by one. In addition, an unloading mechanism receives the carrier from the transporter after all of the plurality of works are subjected to the predetermined process at the process position, and unloads the carrier outside the processing unit, wherein the work is processed in the state it is placed on the carrier.
    Type: Grant
    Filed: April 29, 2002
    Date of Patent: February 10, 2004
    Assignee: Canon Kabushiki Kaisha
    Inventor: Shuichi Hirasawa
  • Patent number: 6684125
    Abstract: Wafer order is randomized in-situ by use of a separate wafer staging area and randomly shuffling wafers to and from this staging area to shuffle the processing order of the wafer lot. Positional data is captured for each wafer at both the send and receive ends of the process.
    Type: Grant
    Filed: November 30, 2001
    Date of Patent: January 27, 2004
    Assignee: Texas Instruments Incorporated
    Inventors: Randolph W. Kahn, Kenneth G. Vickers, Richard L. Guldi, Edward J. Leonard, Yaojian Leng
  • Patent number: 6680775
    Abstract: The mask guiding device of the present invention has a mask guiding device that guides a substrate received from the outside. The mask guiding device is provided with a plurality of receiving portions that receives said mask from the outside.
    Type: Grant
    Filed: September 27, 2000
    Date of Patent: January 20, 2004
    Assignee: Nikon Corporation
    Inventor: Shinichi Hirakawa
  • Patent number: 6679194
    Abstract: A cassette table on which a wafer cassette is supported allows static electricity to be discharged from the cassette and hence, form the wafers disposed in the cassette. The cassette table includes a top plate, a cassette supporter that is mounted on the top plate and supports the cassette. At least part of the cassette supporter is made of a material that allows static electricity to be discharged to ground therethrough.
    Type: Grant
    Filed: October 8, 2002
    Date of Patent: January 20, 2004
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Dong-Seok Ham, Kun-Hyung Lee, Hyeogi-Ki Kim, Kyoung-Ho Park
  • Patent number: 6681148
    Abstract: The invention relates to a monitoring system for a conveying device for flat articles, especially wafers, which conveying device is provided with a carriage (28) that is movable along a predetermined path next to a flat article (10) that is located at a predetermined removal location, the carriage having a receiving device for the accommodation of the flat article (10), which monitoring system contains a light source (50) having a light-exit window and a light receiver (52) having a light-admission window, whereby the light-exit window and the light-admission window are positioned in such a way that a light beam (60) directed form the light-exit window to the light-admission window is partially covered by the carriage (28) during its movement through the light beam, and an evaluation unit that is connected to the light receiver and that compares a target signal derived from its movement of the carriage along a target path with an actual signal derived from an actual movement of the carriage, and indicates a d
    Type: Grant
    Filed: June 3, 2002
    Date of Patent: January 20, 2004
    Assignee: Logitex Reinstmedientechnik GmbH
    Inventors: Hans Lettner, Xaver Kollmer, Günther Schindler, Ernst Georg Frisch
  • Publication number: 20040005209
    Abstract: A pod for transporting reticles is made with a reticle support that has a &pgr;-shape and is provided with pins, whose arrangement matches the location of chrome-free areas on a reticle base. Due to that, the pins, when supporting the reticle, come into contact with the reticle in chrome-free areas thereof. Thus, scratching the metallic areas and releasing metallic particles is prevented from occurring.
    Type: Application
    Filed: July 5, 2002
    Publication date: January 8, 2004
    Applicant: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Wei-Yu Su, Li-Kong Tern, Dong-Hsu Cheng
  • Patent number: 6671576
    Abstract: A wafer carrier device capable of detecting positioning precision of a supporting plate thereof. In the present invention, a supporting plate connected to a mechanical arm has three reflectors and a positioning window. A detection device has a vertical signal generator to output a vertical alignment signal to a vertical signal receiver, and three level detectors to each output a second signal to the corresponding reflector respectively and receive a corresponding reflected level detection signal from the corresponding reflector. A determining unit determines whether the supporting plate is aligned with the vertical signal receiver according to the vertical alignment signal. The determining unit outputs a position rectification signal when the supporting plate is not aligned with the vertical signal receiver. A driver moves the supporting plate by mechanical arm to align the vertical signal receiver according to the position rectification signal.
    Type: Grant
    Filed: March 19, 2003
    Date of Patent: December 30, 2003
    Assignee: Nanya Technology Corporation
    Inventor: Chih-Kun Chen
  • Patent number: 6669185
    Abstract: A wafer loading system positioning method and device, comprising a loading system, having a base and a rear plate for docking on a positioning frame of a production equipment. The main characteristic thereof is that the loading system in an upper part of the rear plate has a holding seat and the positioning frame in an upper part of a front side has an upward extending positioning element. Two eccentric cams on the holding seat and the positioning element allow to adjust a relative position of the holding seat with respect to the positioning element. A lifting mechanism enables raising of the loading system for lifting said holding seat above said positioning element, so as to enable said holding seat to engage with said positioning element.
    Type: Grant
    Filed: December 8, 2000
    Date of Patent: December 30, 2003
    Assignee: Industrial Technology Research Institute
    Inventors: Wu-Lang Lin, Kuan-Chou Chen, Ping-Yu Hu, Muh-Wang Liang, Kuei-Jung Chen, Tzong-Ming Wu
  • Patent number: 6655423
    Abstract: A front-opening unified pod quick-opening apparatus. The apparatus is positioned in a front-opening unified pod loading system. The apparatus has a rail, a slide, a short linking rod having a first end rotatably fixed on the slide to drive the slide to move along the rail, a long rocker arm having a first end rotatably fixed on a second end of the short linking rod, and a second end rotatably fixed on a pin on the backboard; and a power source. When the power source drives the long rocker arm to rotate upwards around the pin on the backboard, the long rocker arm leads the short linking rod, and the short linking rod drives the slide to move upwards along the rail which results in an upward rectilinear motion, and vice versa.
    Type: Grant
    Filed: September 5, 2002
    Date of Patent: December 2, 2003
    Assignee: Fortrend Taiwan Scientific Corp.
    Inventors: John Rush, Chawshiang Wu, Torben J. Ulander, Kung Chris Wu
  • Patent number: 6647641
    Abstract: A device for the treatment of substrates has a fluid container and two substrate transport devices positionable above the fluid container. Each substrate transport device is a hood for receiving multiple substrates. Each substrate transport device has at least one substrate support device having a first position for locking the substrates and a second position for releasing the substrates. The substrate transport devices are rigidly connected to one another and linearly movable for alternatingly positioning one of the substrate transport devices above the fluid container.
    Type: Grant
    Filed: December 3, 1999
    Date of Patent: November 18, 2003
    Assignee: Steag MicroTech GmbH
    Inventor: Martin Weber
  • Patent number: 6645877
    Abstract: A method for operating a multi-station processing chamber is described. A wafer is loaded onto the first station then indexed to the second station prior to processing. The indexing causes the wafer to be well-seated on it spindle before being processed. This prevents an improperly seated wafer from being processed at the first station.
    Type: Grant
    Filed: January 28, 2002
    Date of Patent: November 11, 2003
    Assignee: Intel Corporation
    Inventors: Andrew Ott, Jennifer L. O'Loughlin
  • Patent number: 6642533
    Abstract: A substrate detection sensor is operatively connected to a door moving mechanism for opening/closing a front door with respect to a sealed container accommodating therein a plurality of substrates. The substrate detection sensor enters the sealed container and detects the substrates successively as it is lowered integrally with the front door, and retracts from the sealed container when all of the substrates have been detected.
    Type: Grant
    Filed: January 24, 2001
    Date of Patent: November 4, 2003
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideo Haraguchi, Izuru Matsuda, Shigeyuki Yamamoto
  • Publication number: 20030198541
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Application
    Filed: June 9, 2003
    Publication date: October 23, 2003
    Applicant: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6632065
    Abstract: A substrate-handling robot comprises an arm drive mechanism with a first arm connected to it. A multiple substrate batch loader is connected to the first arm. The multiple substrate batch loader includes a set of vertically stacked substrate-handling paddles. A control circuit is connected to the arm drive mechanism. A vacuum control system is connected to the multiple substrate batch loader and the control circuit. The vacuum control system includes a set of vacuum control valves corresponding to the set of vertically stacked substrate-handling paddles. The set of vacuum control valves includes a selected vacuum control valve for a selected substrate-handling paddle. A set of vacuum sensors is connected to the set of vacuum control valves. The set of vacuum sensors includes a selected vacuum sensor corresponding to the selected vacuum control valve. The selected vacuum sensor provides a substrate-absent signal when a substrate is not present at the selected substrate-handling paddle.
    Type: Grant
    Filed: June 23, 2000
    Date of Patent: October 14, 2003
    Assignee: Equipe Technologies
    Inventors: James A. Cameron, Steven G. Reyling
  • Patent number: 6620253
    Abstract: The invention includes an engagement mechanism for semiconductor substrate deposition process kit hardware, including a body having a distal portion and a proximal portion. The body is sized for movement through a passageway of a semiconductor substrate deposition chamber through which semiconductor substrates pass into and out of the chamber for deposition processing. At least engager is mounted to the distal portion of the body The engager is sized for movement through said passageway with the body. The engager is configured to releasably engage a component of process kit hardware received within said chamber. The invention includes methods of replacing at least a portion of semiconductor substrate deposition process kit hardware. The invention includes methods of depositing materials over a plurality of semiconductor substrates. Other implementations are contemplated.
    Type: Grant
    Filed: June 5, 2002
    Date of Patent: September 16, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Ross S. Dando, Craig M. Carpenter, Philip H. Campbell, Allen P. Mardian, Gurtej S. Sandhu
  • Patent number: 6616394
    Abstract: Systems and methods are described for wafer processing. A wafer processing apparatus includes: a first wafer transporter; a process station coupled to the first wafer transporter, the process station including: a first plurality of wafer processing stacks, each of the plurality of wafer processing stacks including a plurality of wafer processing modules, and a second wafer transporter coupled to the plurality of wafer processing modules, each of the plurality of wafer processing modules adjacent, and accessible by, the second wafer transporter; and a third wafer transporter coupled to the process station, wherein any of the plurality of wafer processing modules in any of the plurality of wafer processing stacks can be accessed by at least two adjacent wafer transporters from among the first, second and third wafer transporter.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: September 9, 2003
    Assignee: Silicon Valley Group
    Inventor: Jae Heon Park
  • Patent number: 6599075
    Abstract: A processor for processing articles, such as semiconductor wafers, in a substantially clean atmosphere is set forth. The processor includes an enclosure defining a substantially enclosed clean processing chamber and at least one processing station disposed in the processing chamber. An interface section is disposed adjacent an interface end of the enclosure. The interface section includes at least one interface port through which a pod containing articles for processing are loaded or unloaded to or from the processor. The interface section is hygienically separated from the processing chamber since the interface section is generally not as clean as the highly hygienic processing chamber. An article extraction mechanism adapted to seal with the pod is employed. The mechanism is disposed to allow extraction of the articles contained within the pod into the processing chamber without exposing the articles to ambient atmospheric conditions in the interface section.
    Type: Grant
    Filed: June 25, 2002
    Date of Patent: July 29, 2003
    Assignee: Semitool, Inc.
    Inventors: Jeffry A. Davis, Kert L. Dolechek, Gary L. Curtis
  • Patent number: 6593045
    Abstract: A cassette station, a processing station having a coating unit and a developing unit, and an inspecting station having a film thickness inspecting apparatus and a defect inspecting apparatus are disposed in the direction approximately perpendicular to the direction of the disposition of cassettes of the cassette station in such a manner that the inspecting station is disposed midway between the cassette station and the processing station. In the structure, the inspecting station and the processing station are connected and wafers are automatically transferred among the stations, operations from the substrate process to the inspection can be simplified and the time period necessary therefore can be shortened.
    Type: Grant
    Filed: July 11, 2001
    Date of Patent: July 15, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Norikatsu Sato, Kunie Ogata, Yoshio Kimura, Hiroshi Tomita, Seiji Nakashima, Hidehiko Kamiya
  • Patent number: 6591161
    Abstract: A robot wafer alignment tool uses a reflector mounted on a multi-axis robot to determine the position of the robot or other objects within a chamber. The reflector reflects images to at least one camera from an area or object of interest in the chamber.
    Type: Grant
    Filed: January 31, 2001
    Date of Patent: July 8, 2003
    Assignee: WaferMasters, Inc.
    Inventors: Woo Sik Yoo, Kitaek Kang
  • Publication number: 20030113189
    Abstract: A system and method of manufacturing wafers are provided suitable for a semiconductor manufacturing system and a method thereof capable of shortening the processing period composed of a series of processes applied to objects to be processed, mainly carry out processes and conveyance peace by peace, and which can manufacture even various kings of products. The system is provided with a plurality of processing units each having therein a conveying mechanism, and is provided therein with a conveyer device for conveying the objects to be processed to the processing units.
    Type: Application
    Filed: February 27, 2002
    Publication date: June 19, 2003
    Inventors: Tetsunori Kaji, Yoichi Uchimaki, Yuko Egawa
  • Patent number: 6580955
    Abstract: An apparatus, method and medium is provided for increasing the efficiency with which wafers are transferred among different processing chambers in a wafer processing facility. A multi-slot cooling chamber allows multiple wafers to be cooled while other wafers are subjected to processing steps in other chambers. Each wafer in the processing sequence is assigned a priority level depending on its processing stage, and this priority level is used to sequence the movement of wafers between chambers. A look-ahead feature prevents low-priority wafer transfers from occurring if such transfers would occur just prior to the scheduling of a high-priority wafer transfer.
    Type: Grant
    Filed: September 9, 2002
    Date of Patent: June 17, 2003
    Assignee: Applied Materials, Inc.
    Inventors: Zhihong J. Lin, Chongyang Wang
  • Patent number: 6567725
    Abstract: Apparatus for teaching robot station location relative to a work piece apparatus includes an attachment that can be temporarily coupled to the apparatus and positioned in known relationship to the robot station location. A plurality of positional sensors are mounted on the attachment ring, the sensors each configured to produce a signal when a work piece carried by a robot arm is positioned a predetermined distance from the sensor. A signal receiver is configured to receive signals from the sensors and to indicate which of the sensors has produced the signal. The indication may be the activation of an LED display that indicates to an operator what the next movement of the robot arm should be in order to center the work piece with respect to the robot station location.
    Type: Grant
    Filed: July 15, 2002
    Date of Patent: May 20, 2003
    Assignee: Speedfam-Ipec Corporation
    Inventors: Ann Wilkey, Michael Smigel, Richard J. Stewart, III
  • Patent number: 6543982
    Abstract: An adjustable wafer transfer machine that includes an adjusting mechanism for changing the spacing between adjacent wafers to accommodate placement of the wafers in either a smaller wafer carrier or a larger wafer carrier and a transfer mechanism for transferring the wafers between the smaller wafer carrier and the adjusting mechanism and for transferring the wafers between the larger wafer carrier and the adjusting mechanism. The adjusting mechanism comprises a pair of flat plates disposed parallel to and opposite one another and a plurality of elongated opposing dividers slidably mounted on the plates. The dividers are disposed vertically adjacent to one another at spaced apart intervals and they extend horizontally to support the wafers along a portion of their perimeter. A positioning mechanism is operatively coupled to the dividers for changing the spacing between the dividers and, correspondingly, between the wafers supported on the dividers.
    Type: Grant
    Filed: November 25, 1997
    Date of Patent: April 8, 2003
    Assignee: Micron Technology, Inc.
    Inventors: Ernest C. Nichols, Leo L. Malmin, Jr.
  • Publication number: 20030063967
    Abstract: A method and apparatus for minimizing the surface contamination of semiconductor wafers (11) during the semiconductor device manufacturing process. Semiconductor wafers (11) are stored in a storage cassette (12) with their face sides (17) facing downward and their back sides (16) facing upward. Particulate contamination present on the back sides of the wafers is thereby secured to the wafers by the force of gravity, and the faces of the wafers are shielded from falling debris. An automated wafer handling device (19) is provided with a rotary joint (22) to accomplish the wafer flipping motion before inserting a wafer into a cassette and after removing the wafer from the cassette.
    Type: Application
    Filed: September 28, 2001
    Publication date: April 3, 2003
    Inventors: Michael Antonell, Erik Cho Houge, Larry E. Plew, Catherine Vartuli, Jennifer Juszczak
  • Patent number: 6540469
    Abstract: A substrate processing apparatus includes a process tube for processing a plurality of substrates, two boats for accommodating the substrates, two boat elevators, a substrate transfer unit for loading and unloading the substrates into and from the boats when the boats are at the first position. In this apparatus, each boat elevator has one boat mounted thereon and each of the boat elevators carries a corresponding boat between a first position located below the process tube and two corresponding second positions. Each of the boat elevators performs loading and unloading the corresponding boat into and from the process tube at the first position. Further, in the apparatus, a center position of the process tube is disposed inside a triangle formed by connecting the substrate transfer unit and the two boat elevators.
    Type: Grant
    Filed: September 5, 2001
    Date of Patent: April 1, 2003
    Assignee: Hitachi Kokusai Electric Inc.
    Inventors: Tatsuhisa Matsunaga, Kouichi Noto
  • Publication number: 20030057089
    Abstract: A first disk carrier constructed in accordance with the invention is substantially circular, and has a size and shape such that it can be placed in an opening in a second, larger disk carrier. In one embodiment, the second, large disk carrier is a conventional disk carrier, e.g. used to hold a substrate during a magnetic disk manufacturing process. The first disk carrier is circular, and has a diameter equal (or substantially equal) to common substrates currently being manufactured. Therefore, the first disk carrier fits in and can be held by the second disk carrier. The first disk carrier has one or more openings for holding one or more substrates that have a diameter substantially less than the diameter of the opening of the second disk carrier.
    Type: Application
    Filed: March 17, 2001
    Publication date: March 27, 2003
    Inventor: Tin Nguyen
  • Patent number: 6533531
    Abstract: A wafer handling device includes a platform having a plurality of movable grippers. A driver is mounted on the platform and selectively couples to at least one of the plurality of grippers to selectively move at least one of the plurality of grippers with regard to the platform. The driver may be magnetically coupled to at least one gripper to move it between a first proximal position and a first distal position. The driver may be mounted on the platform with a track assembly that effectuates a linear magnetic propulsion field to move the driver between the first axial position and the second axial position.
    Type: Grant
    Filed: December 29, 1998
    Date of Patent: March 18, 2003
    Assignee: ASML US, Inc.
    Inventors: Binh Quoc Nguyen, Alton Phillips
  • Patent number: 6533521
    Abstract: Access to the interior of a substrate storage pod in which substrates such as semiconductor wafers are stored is gained using an access device provided within a micro environment enclosure. The access device has a telescoping enclosure door which serves to control access to the interior of the storage pod from the interior of the enclosure. A pre-aligner is mounted to the enclosure door, and substrates are passed through the pre-aligner as they are retrieved from the storage pod. The pre-aligner detects and adjusts the orientation of the substrates as necessary for processing. The level of the pre-aligner is incrementally adjusted to match that of a current substrate by incrementally adjusting the level of the enclosure door.
    Type: Grant
    Filed: March 29, 2001
    Date of Patent: March 18, 2003
    Assignee: Genmark Automation, Inc.
    Inventors: Alexander Todorov, Mila Genov
  • Publication number: 20030044261
    Abstract: The semiconductor material handling system is an EFEM that may either mount to the front end of a processing tool or be integrated into the processing tool. The EFEM is built from a unified frame that the EFEM components, such as a wafer engine and a SMIF pod advance plate, may mount to. The frame serves as a common mounting structure that the EFEM components may use as a reference for alignment purposes. Since the EFEM components do not have to align with respect to the position of each other, the calibration, if any is required, is greatly simplified. The EFEM also has a reduced footprint, allowing the EFEM to mount to the front end of a processing tool and not extend to the fab floor. Thus, space is freed up between the EFEM and the fab floor. By way of example only, this space may be used as a maintenance access area to the processing tool without having to first remove the EFEM.
    Type: Application
    Filed: March 1, 2002
    Publication date: March 6, 2003
    Inventors: Anthony C. Bonora, Richard H. Gould, Roger G. Hine, Michael Krolak, Jerry A. Speasl
  • Patent number: 6522942
    Abstract: A semiconductor processing system includes a transfer apparatus for transferring a wafer. The transfer apparatus has a pick arm member with wings. The reference distances between the wafer located at the normal position and the wings are stored in a memory of a CPU. Detection ranges of line sensors are set in a standby position in front of a process chamber in order to detect the distances between the wafer and the wings. In the CPU, the amount of positional shift of the wafer is detected based on the reference distances and the detected distances.
    Type: Grant
    Filed: May 21, 2001
    Date of Patent: February 18, 2003
    Assignee: Tokyo Electron Limited
    Inventors: Masaki Kondo, Hiroaki Saeki
  • Patent number: 6520726
    Abstract: A substrate handling system with integrated door removal assembly for an environmentally controlled substrate processing chamber is provided. The system includes a robot positioned within the chamber. A drive mechanism is connected to the robot. A door interface mechanism is attached to the drive mechanism and includes a door key and a door key control assembly. The drive mechanism provides mechanical control of the door key control assembly such that that door key is manipulated to couple a substrate carrier door to a port door. The coupled doors are storable within the chamber or on the robot. The drive mechanism may also include a substrate end effector, thereby allowing the robot to transport substrates within the chamber. The robot is movable within the chamber to multiple processing stations.
    Type: Grant
    Filed: March 2, 2000
    Date of Patent: February 18, 2003
    Assignee: PRI Automation, Inc.
    Inventors: Gregory Cook, Craig Chidlow, Rodney Ow, Lang Van Nugyen, J. Rafael Gomez, Steve Reyling, Martin P. Aalund, Steven J. Remis
  • Publication number: 20030031539
    Abstract: A cassette stocker includes a plurality of cassette storage shelves positioned adjacent a cleanroom wall and vertically disposed relative to a plurality of cassette docking stations, and a cassette mover to carry a cassette between the shelves and the docking stations. An interstation transfer apparatus includes a support beam and a transfer arm adapted to carry a cassette between processing stations.
    Type: Application
    Filed: October 2, 2002
    Publication date: February 13, 2003
    Inventors: Jaim Nulman, Nissim Sidi
  • Patent number: 6511315
    Abstract: In a substrate processing apparatus, processing units are stacked in a multistage manner around a transport robot arranged at the center of a processing area for forming a processing part. In a second hierarchy, rotary coating units are arranged through an indexer and a transport robot. In a fourth hierarchy located above the second hierarchy, rotary developing units are stacked above the rotary coating units respectively. Multistage thermal processing units and an edge exposure unit are horizontally arranged above an interface mechanism part. Thus, a substrate processing apparatus capable of reducing the area for setting the same is provided.
    Type: Grant
    Filed: January 16, 2002
    Date of Patent: January 28, 2003
    Assignee: Dainippon Screen Mfg. Co., Ltd.
    Inventor: Koji Hashimoto
  • Patent number: 6503365
    Abstract: A multi-chamber system of an etching facility for manufacturing semiconductor devices occupies a minimum amount of floor space in a cleanroom by installing a plurality of processing chambers in multi-layers and in parallel along a transfer path situated between the processing chambers. The multi-layers number 2 to 5, and the transfer path can be rectangular in shape and need only be slightly wider than the diameter of a wafer. The total width of the multi-chamber system is the sum of the width of one processing chamber plus the width of the transfer path.
    Type: Grant
    Filed: January 26, 1999
    Date of Patent: January 7, 2003
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Ki-sang Kim, Gyu-chan Jeoung, Gyu-hwan Kwag
  • Patent number: 6499777
    Abstract: An end-effector with integrated cooling features comprises heat transferring mechanisms that transfer heat energy away from the end-effector. The end-effector advantageously minimizes the cooling overhead of a processed substrate as it is transported from a process module to a low-cost storage cassette. The reduced cooling overhead of the processed substrate, as a consequence, improves substrate throughput. In the preferred embodiments, the heat transferring mechanisms include a high surface area heat sink connecting the substrate-supporting paddle with a robot arm. Cooling fins can enhance surface area and thus enhance heat dissipation from the heat sink. Cooling channels can extend through paddle and heat sink, either containing circulating fluid for carrying heat beyond the end-effector or a phase changing material in an enclosed heat pipe.
    Type: Grant
    Filed: May 5, 2000
    Date of Patent: December 31, 2002
    Assignee: Matrix Integrated Systems, Inc.
    Inventor: Albert Wang
  • Publication number: 20020197139
    Abstract: The objective of the present invention is to provide a transfer device for substrate capable of preventing deformation or breakage of substrate due to bending stress, by reducing the amount of dead weight deflection of substrate, such as large mother glass boards, etc.
    Type: Application
    Filed: June 26, 2002
    Publication date: December 26, 2002
    Inventors: Hiroshi Chinbe, Yoshiya Endo, Naoki Shimakawa, Ichiro Fukuwatari, Yoshiaki Hayashida, Yoshio Takakura, Akio Matsuyama, Hideto Kohketsu
  • Publication number: 20020197145
    Abstract: A substrate processing apparatus includes a substrate holder for holding a plurality of wafers and being loaded therewith into a process tube through an opening in the process tube, in which a plurality of the wafers are processed, a wafer transfer system for charging a plurality of the wafers to the substrate holder, a boat waiting chamber installed on a line passing through the opening in the process tube and substantially hermetically accommodating the substrate holder before and after the substrate holder is loaded into and unloaded from the process tube and a wafer transfer chamber for substantially hermetically accommodating the wafer transfer system. An oxygen concentration of the boat waiting chamber is different from that of the wafer transfer chamber.
    Type: Application
    Filed: March 27, 2002
    Publication date: December 26, 2002
    Applicant: Hitachi Kokusai Electric Inc.
    Inventors: Tetsuo Yamamoto, Makoto Ozawa, Shuji Yonemitsu, Toshimitsu Miyata
  • Publication number: 20020182052
    Abstract: The invention relates to a monitoring system for a conveying device for flat articles, especially wafers, which conveying device is provided with a carriage (28) that is movable along a predetermined path next to a flat article (10) that is located at a predetermined removal location, the carriage having a receiving device for the accommodation of the flat article (10), which monitoring system contains a light source (50) having a light-exit window and a light receiver (52) having a light-admission window, whereby the light-exit window and the light-admission window are positioned in such a way that a light beam (60) directed form the light-exit window to the light-admission window is partially covered by the carriage (28) during its movement through the light beam, and an evaluation unit that is connected to the light receiver and that compares a target signal derived from its movement of the carriage along a target path with an actual signal derived from an actual movement of the carriage, and indicates a d
    Type: Application
    Filed: June 3, 2002
    Publication date: December 5, 2002
    Inventors: Hans Leitner, Xaver Kollmer, Gunther Schindler, Ernst Georg Frisch
  • Publication number: 20020182040
    Abstract: A substrate processing apparatus comprises a processing section for performing processing for a substrate, a substrate carrier transfer section into/out of which a substrate carrier holding a plurality of substrates is carried, and a substrate transfer mechanism for taking an unprocessed substrate out of the substrate carrier carried into the substrate carrier transfer section to deliver it to the processing section and for receiving a processed substrate from the processing section to deliver it into the substrate carrier placed on the substrate carrier transfer section. The substrate carrier transfer section shifts the position of the substrate carrier between a first position at which the substrate carrier is carried to/from the outside and a second position at which the substrate in the substrate carrier is delivered to/from the substrate transfer mechanism.
    Type: Application
    Filed: July 25, 2002
    Publication date: December 5, 2002
    Inventors: Yoshio Kimura, Issei Ueda, Mitiaki Matsushita, Kazuhiko Ito
  • Patent number: 6488778
    Abstract: An apparatus and method for controlling wafer temperature and environment is provided. The apparatus includes a batch processing fixture for batch processing wafers at a first elevated temperature. The batch of wafers is not substantially ramped in temperature within the batch processing fixture. The apparatus also includes a single wafer processing apparatus for rapidly ramping temperature of a wafer of the batch from the first elevated temperature wherein a uniform temperature across the wafer is maintained during the ramping. Another embodiment of the apparatus (10) includes an RTP chamber (20) having an inert or reducing environment and that includes a pedestal (24) for holding a single wafer (16) and a heater unit (22) arranged so as to uniformly and rapidly heat the single wafer.
    Type: Grant
    Filed: March 16, 2000
    Date of Patent: December 3, 2002
    Assignee: International Business Machines Corporation
    Inventors: Arne W. Ballantine, Peter A. Emmi, Walter J. Frey, Michael J. Gambero, Neena Garg, Byeongju Park, Donald L. Wilson
  • Patent number: 6485250
    Abstract: A substrate transport apparatus having a drive section, two independently movable arm assemblies connected to the drive section on a common axis of rotation, and substrate holders connected to the arm assemblies. The drive section includes three coaxial drive shafts with a pulley connected to one of the shafts. The arm assemblies are connected to respective ones of the two other shafts.
    Type: Grant
    Filed: December 30, 1998
    Date of Patent: November 26, 2002
    Assignee: Brooks Automation Inc.
    Inventor: Christopher A. Hofmeister
  • Patent number: 6481951
    Abstract: The present invention generally provides a processing system having a robot assembly which includes a multiple sided robot blade that can support a substrate on at least two sides thereof and associated methods to transfer one or more substrates in a processing system. An unprocessed substrate can be supported on the blade while a processed substrate is retrieved from a location to which the unprocessed substrate is to be delivered. The processing throughput rate is increased by reducing the movements required by the robot to exchange processed substrates and unprocessed substrates, thus decreasing the swap time.
    Type: Grant
    Filed: September 16, 1999
    Date of Patent: November 19, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Arul Shanmugasundram, Michael P. Karazim
  • Patent number: 6473996
    Abstract: In a load port mechanism of a substrate treatment unit, protuberances are provided on a sealing surface formed along a door with which a wafer carrier is to dock, or on a sealing surface formed around the door of the wafer carrier. The wafer carrier door is faced with the load port door with the protuberances therebetween, thereby separating the sealing surface of the substrate treatment unit from the sealing surface of the wafer carrier by only a predetermined distance. Thus, there is formed a channel along which clean air flows from the inside of the substrate treatment unit to the outside thereof. The load port structure and the wafer carrier structure improve the reliability of opening/closing action of the wafer carrier and prevent entry of extraneous particles into the treatment unit with sufficient reliability, and enable high-yield production of integrated circuits.
    Type: Grant
    Filed: October 27, 2000
    Date of Patent: November 5, 2002
    Assignee: Semiconductor Leading Edge Technologies, Inc.
    Inventor: Kenji Tokunaga
  • Publication number: 20020159879
    Abstract: A plant for processing wafers, having a plurality of fabrication units, a plurality of measuring units, and a transport system for transporting the wafers. The fabrication units and the measuring units are each assigned a registration system. The feeding-in and discharge of the wafers to and from the respective fabrication unit or measuring unit can be registered in order to determine the wafer occupancy in the unit. Depending on this occupancy, a supply request or disposal request can be generated for the respective fabrication unit and measuring unit.
    Type: Application
    Filed: April 29, 2002
    Publication date: October 31, 2002
    Inventor: Jurgen Elger
  • Patent number: 6470927
    Abstract: A FOUP opener opens and closes a FOUP door which closes a front opening portion of a FOUP which contains a plurality of semiconductor wafers. The FOUP opener includes a dock plate for carrying and positioning the FOUP; a dock moving mechanism for moving the dock plate to a position for detachment/attachment of the FOUP door; a port door including a detachment/attachment mechanism for detaching/attaching the FOUP door and a holder mechanism for holding the FOUP door; a port plate including an opening portion, the opening portion being closed by the port door; a port door horizontal-movement mechanism for horizontally moving the port door; and a port door vertical-movement mechanism for vertically moving the port door with the port door holding the FOUP door, so as to house the FOUP door. The port door includes a seal member for sealing a space defined between an outside wall of the FOUP door and an outside wall of the port door.
    Type: Grant
    Filed: October 24, 2001
    Date of Patent: October 29, 2002
    Assignee: Hirata Corporation
    Inventor: Tetsunori Otaguro
  • Patent number: 6468353
    Abstract: A method and apparatus are provided for substrate handling. In a first aspect, a temperature adjustment plate is located below a substrate carriage and is configured such that a substrate may be transferred between the temperature adjustment plate and the substrate carriage by lifting and lowering the substrate carriage above and below the top surface of the temperature adjustment plate. The temperature adjustment plate may be configured to heat and/or cool a substrate positioned thereon. In a second aspect, the substrate carriage is magnetically coupled so as to rotate and/or lift and lower magnetically, thereby reducing particle generation via contact between moving parts (and potential chamber contamination therefrom). In a third aspect, a substrate handler positioned below the substrate carriage is both magnetically coupled and magnetically levitated, providing further particle reduction.
    Type: Grant
    Filed: March 29, 2000
    Date of Patent: October 22, 2002
    Assignee: Applied Materials, Inc.
    Inventors: Ilya Perlov, Alexey Goder, Eugene Gantvarg, Howard E. Grunes
  • Publication number: 20020150449
    Abstract: An automated semiconductor processing system has an indexer bay perpendicularly aligned with a process bay within a clean air enclosure. An indexer in the indexer bay provides stocking or storage for work in progress semiconductor wafers. Process chambers are located in the process bay: A process robot moves between the indexer bay and process bay to carry semi-conductor wafers to and from the process chambers. The process robot has a robot arm vertically moveable along a lift rail. Semiconductor wafers are carried offset from the robot arm, to better avoid contamination. The automated system is compact and requires less clean room floor space.
    Type: Application
    Filed: June 5, 2002
    Publication date: October 17, 2002
    Applicant: Semitool, Inc.
    Inventors: Gordon Ray Nelson, Daniel P. Bexten, Jeffry A. Davis
  • Patent number: 6464445
    Abstract: A system and method for improved throughput of semiconductor wafer processing. In one aspect, a wafer carrier is provided having a flat zone capable of holding an additional lot of wafers for processing. In addition, a multiple fork wafer transfer mechanism is provided having a plurality of wafer forks for loading and unloading wafers in the wafer carrier at a reduced fork pitch.
    Type: Grant
    Filed: December 19, 2000
    Date of Patent: October 15, 2002
    Assignee: Infineon Technologies Richmond, LP
    Inventors: Brian M. Knapik, David K. Lawson, Gregory O'Lyn Proctor