Includes Means For Gripping Wafer Patents (Class 414/941)
  • Patent number: 8651539
    Abstract: An integrated grip arm for transfer reticles and carrier boxes is disclosed for improving throughput, yield and reliability of transport equipment. The integrated grip arm comprises a plurality of grippers to accommodate a plurality of reticles and carrier boxes without the need of separate arm or gripper changes. The integrated grip arm can further comprise sensor or means to select the right gripper for the right reticles or carrier boxes.
    Type: Grant
    Filed: January 13, 2013
    Date of Patent: February 18, 2014
    Assignees: Dynamic Micro System, Semiconductor Equipment GmbH
    Inventor: Lutz Rebstock
  • Patent number: 8622451
    Abstract: An endeffector for handling wafers at a relatively low temperature is disclosed along with an endeffector for handling wafers at a relatively high temperature. Both endeffectors include a base member and uniquely designed support members that are configured to only contact a wafer at the wafer's edge. Further, the support members have an arcuate shape that generally matches a radius of a semiconductor wafer. More specifically, each support member has a curved wafer contact surface that tapers from a maximum radius at a top surface to a minimum radius at a bottom surface. The endeffectors may also include a wafer detection system. The endeffector for handling wafers at relatively low temperatures may also include a pushing device that is used not only to position a wafer but to hold a wafer on the endeffector during acceleration or deceleration of the endeffector caused by a robot arm attached to the endeffector.
    Type: Grant
    Filed: January 24, 2012
    Date of Patent: January 7, 2014
    Assignee: Mattson Technology, Inc.
    Inventor: Paul Mantz
  • Patent number: 8599531
    Abstract: Systems, apparatus and methods for transporting substrates between system components of an electronic device manufacturing system are provided. The systems and apparatus include an electrostatic end effector having a base, an electrode pair on the base, and spacer members for spacing the substrate from the electrode pairs to provide a gap between the electrode pair and the substrate. Methods of the invention as well as numerous other aspects are provided.
    Type: Grant
    Filed: January 8, 2010
    Date of Patent: December 3, 2013
    Assignee: Applied Materials, Inc.
    Inventors: Satish Sundar, Jeffrey C. Hudgens, Prudhvi R. Chintalapati, William Nixon Taylor, Jr., William P. Laceky, Jeffrey A. Brodine, Dean C. Hruzek, Mario Dave Silvetti
  • Patent number: 8591700
    Abstract: The present disclosure is directed to a susceptor support that includes a hub and a plurality of arms extending radially from the hub, where each arm has a terminal end positioned away from the hub. The susceptor support also includes a plurality of elongated rectangular tips formed at the terminal end of each arm, each tip having a length and a width, wherein the length is greater than the width.
    Type: Grant
    Filed: August 19, 2010
    Date of Patent: November 26, 2013
    Assignee: STMicroelectronics Pte Ltd.
    Inventors: Roy G. Gatchalian, Joseph Gregorio Soriano, Hee Cher Heng
  • Patent number: 8590956
    Abstract: A system for sensing, orienting, and transporting wafers in an automated wafer handling process that reduces the generation of particles and contamination so that the wafer yield is increased. The system includes a robotic arm for moving a wafer from one station to a destination station, and an end-effector connected to an end of the robotic arm for receiving the wafer. The end-effector includes a mechanism for gripping the wafer, a direct drive motor for rotating the wafer gripping mechanism, and at least one sensor for sensing the location and orientation of the wafer. A control processor calculates the location of the center and the notch of the wafer based on measurements by the sensor(s) and generates an alignment signal for rotating the wafer gripping mechanism so that the wafer is oriented at a predetermined position on the end-effector while the robotic arm is moving to another station.
    Type: Grant
    Filed: September 12, 2011
    Date of Patent: November 26, 2013
    Assignee: Brooks Automation, Inc.
    Inventor: Matthew W. Coady
  • Patent number: 8585112
    Abstract: A sample conveying mechanism minimizes a risk of damage to a sample typified particularly by a photomask, and the sample conveying mechanism provides the sample retention mechanism for holding the sample so as to be suspended and is configured such that a portion close to a pattern surface of the sample is separated from a projection section of a flange for suspending the sample, thereby inhibiting a contact between the pattern surface and members configuring the conveying mechanism.
    Type: Grant
    Filed: July 29, 2009
    Date of Patent: November 19, 2013
    Assignee: Hitachi High-Technologies Corporation
    Inventors: Katsuya Kawakami, Masahiro Tsunoda, Takashi Gunji, Hidetoshi Sato
  • Patent number: 8562271
    Abstract: A substrate processing system including a load port module configured to hold at least one substrate container for storing and transporting substrates, a substrate processing chamber, an isolatable transfer chamber capable of holding an isolated atmosphere therein configured to couple the substrate processing chamber and the load port module, and a substrate transport mounted at least partially within the transfer chamber having a drive section fixed to the transfer chamber and having a SCARA arm configured to support at least one substrate, the SCARA arm being configured to transport the at least one substrate between the at least one substrate container and the processing chamber with but one touch of the at least one substrate, wherein the SCARA arm comprises a first arm link, a second arm link, and at least one end effector serially pivotally coupled to each other, where the first and second arm links have unequal lengths.
    Type: Grant
    Filed: May 19, 2008
    Date of Patent: October 22, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Christopher Hofmeister, Alexander Krupyshev, Ulysses Gilchrist
  • Patent number: 8556318
    Abstract: An electric-controlled operator includes, in part, a first group of grippers and a second group of grippers which are coupled to a base. Grippers in the first group of grippers are adapted to cooperatively grip an object and cooperatively release the object. Grippers in the second group of grippers are adapted to cooperatively grip an object and cooperatively release the object. When the first (or the second) group of grippers cooperatively grips an object, the second (or the first) group of grippers is in a state in which the object is released. The first and second groups of grippers are adapted to put in and take out objects from the same surface of the base thus eliminating the need for turning of the base during the operation.
    Type: Grant
    Filed: December 14, 2011
    Date of Patent: October 15, 2013
    Assignee: Semiconductor Manufacturing International (Beijing) Corporation
    Inventor: Lianghui Wu
  • Patent number: 8545165
    Abstract: A substrate aligner providing minimal substrate transporter extend and retract motions to quickly align substrate without back side damage while increasing the throughput of substrate processing. In one embodiment, the aligner having an inverted chuck connected to a frame with a substrate transfer system capable of transferring substrate from chuck to transporter without rotationally repositioning substrate. The inverted chuck eliminates aligner obstruction of substrate fiducials and along with the transfer system, allows transporter to remain within the frame during alignment. In another embodiment, the aligner has a rotatable sensor head connected to a frame and a substrate support with transparent rest pads for supporting the substrate during alignment so transporter can remain within the frame during alignment. Substrate alignment is performed independent of fiducial placement on support pads.
    Type: Grant
    Filed: July 11, 2005
    Date of Patent: October 1, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Jairo T. Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 8529314
    Abstract: When both surfaces of a wafer are sequentially subjected to processing, the wafer is made to be surely supported so that a predetermined processing and transporting can be performed. On one surface of the wafer a first fixing jig (3a) is fixed in close contact. After having performed a predetermined processing to the opposite surface of the wafer, a second fixing jig (3b) is fixed in close contact with the opposite surface of the wafer. The first fixing jig (3a) is removed and the wafer is handed over to the second fixing jig (3b). Each of the fixing jigs is made up of a jig main body (31), and a close contact layer (32) which is disposed on one surface thereof. The jig main body has a plurality of supporting projections (33) to support the close contact layer, and a side wall (34). The close contact layer is adhered to an end surface of the side wall to thereby define a partitioned space (35) enclosed by the side wall, between the close contact layer and the jig main body.
    Type: Grant
    Filed: July 31, 2008
    Date of Patent: September 10, 2013
    Assignees: Lintec Corporation, Shin-Etsu Polymer Co., Ltd.
    Inventors: Takeshi Segawa, Kiyofumi Tanaka
  • Patent number: 8505991
    Abstract: The present invention provides a technology for holding an object to be reliably conveyed for the sake of high speed conveyance not only in a low temperature environment but also in a high temperature environment, and for reducing dust while conveying the object to be conveyed. A conveying device includes a link mechanism, which can expand and contract, has a plurality of arms to which power from a drive source is transmitted, and a mounting section which is connected to an operating tip section of the link mechanism through a drive link section and on which a substrate is mounted. The mounting section has latch sections for making contact with and latching side portions of the substrate.
    Type: Grant
    Filed: April 14, 2011
    Date of Patent: August 13, 2013
    Assignee: Ulvac, Inc.
    Inventor: Hirofumi Minami
  • Patent number: 8500182
    Abstract: An apparatus for supporting a wafer includes a base, and a gas-penetration layer. The gas-penetration layer and a portion of the base directly underlying the gas-penetration layer form a gas passage therebetween. The gas passage is configured to be sealed by the wafer placed directly over the gas-penetration layer. The apparatus further includes a valve connected to the gas passage.
    Type: Grant
    Filed: June 17, 2010
    Date of Patent: August 6, 2013
    Assignee: Taiwan Semiconductor Manufacturing Company, Ltd.
    Inventors: Ku-Feng Yang, Weng-Jin Wu, Jing-Cheng Lin, Wen-Chih Chiou, Chen-Hua Yu
  • Patent number: 8491252
    Abstract: A transport method for disk-shaped semiconductor wafer workpieces has a horizontally movable transport arm with two elongated carrying elements at one end, for receiving the workpiece. A cassette which includes a comb structure at each side for receiving several workpieces, is inserted free of contact between two adjacent combs with workpieces therein for vertically lifting a workpiece. The carrying elements are disposed such that during a cassette engagement they are each positioned substantially adjacent and parallel to the comb structure along a comb, and in this region along and between two adjacent comb planes on one side of the cassette, a scanning beam is provided for workpiece acquisition. The scanning beam is relatively height-positionable with respect to the cassette and is tilted about a small angle with respect to the horizontal workpiece plane.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: July 23, 2013
    Assignee: OC Oerlikon Balzers Ltd.
    Inventors: Bart Scholte Van Mast, Holger Christ
  • Patent number: 8469368
    Abstract: A disclosed device for use with an electrostatic chuck configured to hold a substrate in a plasma environment comprises an edge ring configured to be placed either in contact with portions of only a ceramic top piece, a base plate, or coupled to the base plate through a plurality of pins and pin slots. The edge ring is further configured to be concentric with the ceramic top piece. In one embodiment, the edge ring includes an inner edge having an edge step arranged to provide mechanical coupling between the edge ring and the outer periphery of the ceramic top piece. The edge ring further includes an outer edge and a flat portion located between the inner edge and the outer edge. The flat portion is arranged to be both horizontal when the edge ring is placed around the outer periphery of the ceramic top piece and parallel to the substrate.
    Type: Grant
    Filed: August 12, 2009
    Date of Patent: June 25, 2013
    Assignee: Lam Research Corporation
    Inventors: Ian Jared Kenworthy, Kelly Fong, Michael C. Kellogg
  • Patent number: 8469346
    Abstract: A substrate mounting mechanism includes a substrate mounting table for mounting a substrate thereon; a heat source for supplying a heat or a cold heat to the substrate via the substrate mounting table; a substrate elevating member movable, having a substrate-supporting portion for supporting an end portion of the substrate, the substrate elevating member being upwardly and downwardly between a first position at which the substrate is mounted on the substrate mounting table and a second position that is located above the first position; and an elevating unit for upwardly and downwardly moving the substrate elevating member. The substrate elevating member serves as a part of the substrate mounting table at the first position.
    Type: Grant
    Filed: August 2, 2010
    Date of Patent: June 25, 2013
    Assignee: Tokyo Electron Limited
    Inventor: Takeshi Ito
  • Patent number: 8465072
    Abstract: The present invention discloses a panel transferring apparatus. The panel transferring apparatus includes a panel supporting mechanism for supporting a panel. The panel supporting mechanism includes a plurality of primary supports spaced apart from each other; and a plurality of secondary supports disposed on the primary supports and extending lateral to the primary supports. The primary supports and the secondary supports cooperate to support the panel. The present invention further discloses a panel supporting mechanism. A contact area between the panel supporting mechanism and the panel is increased by disposing the plurality of secondary supports on the plurality of primary supports. Thereby, flexure of the panel is lessened, the production cost is lowered and the production cycle is shortened.
    Type: Grant
    Filed: June 3, 2011
    Date of Patent: June 18, 2013
    Assignee: Shenzhen China Star Optoelectronics Technology Co., Ltd.
    Inventors: Jo Shan Wu, Kuan-Cheng Lee
  • Patent number: 8459928
    Abstract: A conveyor robot (10) includes a main body (12), a first arm (18), and a second arm (16). The first arm (18) is designed to be reciprocable between a wafer cassette and a position above the main body (12). The first arm (18) is provided with a first hand (182) having a plurality of gripping portions designed to grip a wafer. The second arm (16) is designed to be reciprocable between a position above the main body (12) and a wafer stage. The second arm (16) is provided with a second hand (162) having a plurality of gripping portions designed to grip the wafer from a different angle than do the gripping portions of the first hand (182). The gripping portions of the first hand (182) and those of the second hand (162) are positioned at equal height.
    Type: Grant
    Filed: February 20, 2009
    Date of Patent: June 11, 2013
    Assignee: Tazmo Co., Ltd.
    Inventor: Takahiro Kobiki
  • Patent number: 8454068
    Abstract: A chuck hand 1 includes a pressing mechanism 14 having a pusher 25 which presses a semiconductor process wafer 3 in the direction of a front guide 12 to thereby grip the semiconductor process wafer 3. The pressing mechanism 14 further has a pusher supporting body 22 and a buffering member 28. The pusher supporting body 22 is configured such that it can advance and retract. The pusher supporting body 22 is disposed in the pusher 25 such that it can slide back and forth. A gap 26a is defined forward relative to the pusher supporting body 22. The buffering member 28, which has a low bounce and is elastically deformable, is interposingly placed in the gap 26a. When the pusher supporting body 22 moves forward, it pushes the pusher 25 through the buffering member 28 whereby the pusher 25 is moved forward. The pusher 25 is abutted and pressed against the semiconductor process wafer 3.
    Type: Grant
    Filed: November 22, 2010
    Date of Patent: June 4, 2013
    Assignee: Kawasaki Jukogyo Kabushiki Kaisha
    Inventors: Yasuhiko Hashimoto, Tośhiaki Yoshida
  • Patent number: 8454027
    Abstract: A clockable device for use with an electrostatic chuck configured to hold a substrate in a plasma environment is disclosed. The clockable device comprises a first portion of the electrostatic chuck having at least one face with variable thermal contact areas located thereon. A second portion of the electrostatic chuck has at least one face with variable thermal contact areas located thereon. The at least one face of the second portion is configured to be placed in thermal contact with the at least one face of the first portion to control a thermal gradient across a face of the substrate.
    Type: Grant
    Filed: September 23, 2009
    Date of Patent: June 4, 2013
    Assignee: Lam Research Corporation
    Inventors: Henry S. Povolny, Andreas Fischer
  • Patent number: 8444194
    Abstract: This substrate transport hand includes a first receiving portion and a second receiving portion capable of receiving a first substrate and a second substrate thereon respectively, a third receiving portion supporting the first substrate received on the first receiving portion along with the first receiving portion, and a fourth receiving portion movably provided on a hand body portion for supporting the second substrate received on the second receiving portion along with the second receiving portion when moved to a first position of the hand body portion.
    Type: Grant
    Filed: February 2, 2011
    Date of Patent: May 21, 2013
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventor: Masatoshi Furuichi
  • Patent number: 8434992
    Abstract: A first decelerator is placed in an arm base such that a lower end of a decelerator shaft is bared in the arm base. A first arm has a hermetic space which becomes equal in pressure to a hermetic space of the arm base when an upper end of the hollow decelerator shaft is inserted thereinto, and is secured to a first decelerator output shaft. A second decelerator is placed on a distal end of the first arm, and has an input shaft connected to the decelerator shaft. A second arm is secured to an output shaft of the second decelerator, and has no hermetic space formed therein. A link mechanism follows the first and second arms.
    Type: Grant
    Filed: November 10, 2010
    Date of Patent: May 7, 2013
    Assignee: Kabushiki Kaisha Yaskawa Denki
    Inventors: Fumihiro Tara, Nobuyuki Furukawa, Kensuke Ohni
  • Patent number: 8430620
    Abstract: Methods, systems and apparatuses for high throughput substrate transfer are provided. According to various embodiments, the methods and systems described use robots having dedicated end effectors for hot and cold wafers or other substrates). Throughput is increased by optimizing the transfer of both the hot and the cold wafers. Also described are wafer transfer apparatuses having end effectors configured for supporting either hot or cold wafers. In certain embodiments, dual arm robots having dedicated hot and cold wafer arms are provided. Also provided are methods of transferring substrates that to improve overall throughput. The methods involve transferring hot and cold substrates at different accelerations.
    Type: Grant
    Filed: March 24, 2008
    Date of Patent: April 30, 2013
    Assignee: Novellus Systems, Inc.
    Inventor: Rich Blank
  • Patent number: 8415770
    Abstract: Apparatus and methods for uniform metal plating onto a semiconductor wafer, such as GaAs wafer, are disclosed. One such apparatus can include an anode and a showerhead body. The anode can include an anode post and a showerhead anode plate. The showerhead anode plate can include holes sized to dispense a particular plating solution, such as plating solution that includes gold, onto a wafer. The showerhead body can be coupled to the anode post and the showerhead anode plate. The showerhead body can be configured to create a seal sufficient to substantially prevent a reduction of pressure in the plating solution flowing from the anode post to holes of the showerhead anode plate.
    Type: Grant
    Filed: May 2, 2012
    Date of Patent: April 9, 2013
    Assignee: Skyworks Solutions, Inc.
    Inventors: Jens A. Riege, Heather L. Knoedler, Shiban K. Tiku
  • Patent number: 8408158
    Abstract: A coating/developing device includes a processing block having a plurality of coating unit blocks stacked and a developing unit block stacked on the coating unit blocks. Each of the unit blocks is provided with a liquid processing unit for coating a liquid chemical on a substrate, a heating unit for heating the substrate, a cooling unit for cooling the substrate and a transfer unit for transferring the substrate between the units. The liquid processing unit is provided with a coating unit for coating a resist liquid on the substrate, a first bottom antireflection coating (BARC) forming unit for coating a liquid chemical for a BARC on the substrate before the resist liquid is coated thereon, and a second BARC forming unit for coating a liquid chemical for the BARC on the substrate after the resist liquid is coated thereon.
    Type: Grant
    Filed: March 9, 2006
    Date of Patent: April 2, 2013
    Assignee: Tokyo Electron Limited
    Inventors: Masami Akimoto, Shinichi Hayashi, Yasushi Hayashida, Nobuaki Matsuoka, Yoshio Kimura, Issei Ueda, Hikaru Ito
  • Patent number: 8403619
    Abstract: In accordance to an exemplary embodiment of the disclosed embodiments, a substrate aligner apparatus is presented, the substrate aligner apparatus having a frame adapted to allow a substrate transporter to transport a substrate to and from the aligner apparatus, an inverted chuck capable of holding the substrate and movably connected to the frame by a chuck driveshaft engaged to the inverted chuck for moving the inverted chuck relative to the frame and effecting alignment of the substrate, a sensing device located between the chuck and chuck driveshaft for detecting a position determining feature of the substrate, and a substrate transfer mechanism movably connected to the frame and located inside the frame below the inverted chuck for moving the substrate from the inverted chuck to the substrate transporter.
    Type: Grant
    Filed: February 18, 2011
    Date of Patent: March 26, 2013
    Assignee: Brooks Automation, Inc.
    Inventors: Jairo Terra Moura, Martin Hosek, Todd Bottomley, Ulysses Gilchrist
  • Patent number: 8393662
    Abstract: There is provided a robot hand for substrate transfer in which the robot hand is so arranged that, even in case a substrate (S) gives rise to warping, the substrate (S) can be stably supported. On an upper surface of the robot hand there is formed a first seating surface on which is seated a lower-surface peripheral portion of the substrate (S) and, on a periphery thereof, there is formed an upwardly extended step. The step is provided with a plurality of stair-shaped stages. On an upper surface of the robot hand inwardly away from the first seating surface there is provided a second seating surface which is inclined downward toward the center of the substrate (S) such that a lower surface of the substrate (S) is seated on the second seating surface when the substrate (S) is warped downward into a concave shape.
    Type: Grant
    Filed: November 3, 2011
    Date of Patent: March 12, 2013
    Assignee: ULVAC, Inc.
    Inventors: Yoshinori Fujii, Shinya Nakamura
  • Patent number: 8382180
    Abstract: An apparatus for transferring substrates in a processing system where the substrate is exposed to high temperatures is provided. In one embodiment a blade for transporting a substrate is provided. The blade comprises a base having an arcuate lateral shoulder, a first finger extending outward from and perpendicular to the base, a second finger extending outward from the base and parallel to and spaced-apart from the first finger, a first support tab configured to support the substrate and positioned along the arcuate lateral shoulder, a second support tab configured to support the substrate and coupled with the first finger, and a third support tab configured to support the substrate coupled with the second finger, wherein the arcuate lateral shoulder extends from an outer edge of the first finger to an outer edge of the second finger.
    Type: Grant
    Filed: October 30, 2008
    Date of Patent: February 26, 2013
    Assignee: Applied Material, Inc.
    Inventors: Dinesh Kanawade, Craig R. Metzner, Chandrasekhar Balasubramanyam
  • Patent number: 8376428
    Abstract: An integrated grip arm comprises a plurality of grippers to accommodate a plurality of reticles and carrier boxes without the need of separate arm or gripper changes. The integrated grip arm can comprise an edge gripper for gripping a reticle or a carrier box at the edges, and a fork gripper for gripping a reticle or a carrier box at a top and a bottom portions. The integrated grip arm can comprise an edge gripper for gripping a wafer from the edges and an end effector for holding a wafer from the bottom. The integrated grip arm can comprise a vertical gripper for gripping a workpiece from a vertical position and a horizontal gripper for gripping a workpiece from a horizontal position.
    Type: Grant
    Filed: July 25, 2007
    Date of Patent: February 19, 2013
    Assignee: Dynamic Micro System Semiconductor Equipment GmbH
    Inventor: Lutz Rebstock
  • Patent number: 8357588
    Abstract: A workpiece machining method includes attaching a workpiece to a workpiece support with the aid of joining means. The workpiece and the workpiece support are joined to one another by an annular joining means. The composite produced is machined. The machined workpiece is separated from the workpiece support.
    Type: Grant
    Filed: February 22, 2011
    Date of Patent: January 22, 2013
    Assignee: Infineon Technologies AG
    Inventors: Stephen Bradl, Walther Grommes, Werner Kröninger, Michael Melzl, Josef Schwaiger, Thilo Stache
  • Patent number: 8336188
    Abstract: Methods and systems, in one embodiment, are described related to a chuck with a plurality of vacuum grooves on a surface. Each single vacuum groove of the plurality of vacuum grooves has a single port connected with a single vacuum line coupled to a vacuum source. The single vacuum line is not shared with another groove and a restriction is applied to the single vacuum line in order to isolate each single vacuum groove.
    Type: Grant
    Filed: July 17, 2008
    Date of Patent: December 25, 2012
    Assignee: FormFactor, Inc.
    Inventors: Bjorn Monteen, Kuan Yong Ho
  • Patent number: 8323412
    Abstract: A substrate support for supporting a substrate in a processing chamber comprises a frame for carrying the substrate, at least a first fastening means fixedly attached to the frame for aligning the substrate relative to the frame, and at least a second fastening means movably attached to the frame, the second fastening means being movable relative to the frame and/or the substrate. Furthermore, a processing device comprises an edge exclusion projecting over a portion of the surface of the substrate in order to prevent processing of the portion of the surface of the substrate. A part of the edge exclusion may be moved into a gap between the edge(s) of the substrate and the frame element of the substrate support to form a labyrinth seal between the frame element and the edge of the substrate. A method of placing the substrate on the substrate support is also disclosed.
    Type: Grant
    Filed: April 23, 2008
    Date of Patent: December 4, 2012
    Assignee: Applied Materials, Inc.
    Inventors: Dieter Haas, Thomas Berger, Simon Lau
  • Patent number: 8319947
    Abstract: An operating valve of the present invention is a differential pressure operating valve 100 for performing a vacuum suction of a substrate, the operating valve comprises a body 4 having an opening which is provided at an exhaust side for exhausting an air from an inside to an outside and is opposed to a suction side for sucking the air from the outside to the inside, a valve 8, and a spring 9 whose one end is connected with one of the suction side and the exhaust side of the body 4 and the other end is connected with the valve 8. The spring 9 is configured to stretch or compress in accordance with a differential pressure between the suction side and the exhaust side, and the valve 8 is provided with at least one hole.
    Type: Grant
    Filed: October 6, 2009
    Date of Patent: November 27, 2012
    Assignee: Canon Kabushiki Kaisha
    Inventors: Hiroyuki Eki, Shiro Sakai
  • Patent number: 8307543
    Abstract: Provided are a semiconductor chip attaching apparatus and a semiconductor chip attaching method. The semiconductor chip attaching apparatus includes a collet body comprising a pick-up pad and a pad support and a collet plate on the collet body, the collet plate having a central portion. A lower surface of the edge portion contacts an upper surface of the pad support. An edge portion of the lower surface is spaced apart from the upper surface of the pad support. The apparatus further includes a first pipe extending through the collet plate and the collet body. The collet plate includes a second pipe in the edge portion.
    Type: Grant
    Filed: May 3, 2010
    Date of Patent: November 13, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventor: Su-Young Lee
  • Patent number: 8303764
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: March 7, 2011
    Date of Patent: November 6, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 8293066
    Abstract: There is described apparatus and methods for transporting and processing substrates including wafers as to efficiently produce at reasonable costs improved throughput as compared to systems in use today. A key element is the use of a transport chamber along the sides of processing chambers for feeding substrates into a controlled atmosphere through a load lock and then along a transport chamber as a way of reaching processing chambers and then out of the controlled atmosphere following processing in the processing chambers.
    Type: Grant
    Filed: December 1, 2008
    Date of Patent: October 23, 2012
    Assignee: Brooks Automation, Inc.
    Inventors: Terry Bluck, Kevin P. Fairbairn, Michael S. Barnes, Christopher T. Lane
  • Patent number: 8276959
    Abstract: Embodiments of the invention relate to apparatus and method for supporting and transferring large area substrate in a vacuum condition. One embodiment of the invention provides an apparatus comprising one or more end effectors having a plurality of end effector pads disposed thereon without mechanically joining to the one or more end effectors. In one embodiment, a plurality of end effector pad assemblies are coupled to one or more end effectors by magnetic force.
    Type: Grant
    Filed: January 9, 2009
    Date of Patent: October 2, 2012
    Assignee: Applied Materials, Inc.
    Inventor: Kyung-Tae Kim
  • Patent number: 8226142
    Abstract: A workpiece gripping integrity device and method are provided having a charge-transfer sensing device configured to detect a change in charge associated with a gripper arm assembly based on a grip condition thereof. The charge-transfer sensing device can be configured to detect a change in capacitance between the gripper arm assembly and ground, wherein the change in capacitance is based on a grip condition of the gripper arm assembly associated with a plurality of grippers contacting the workpiece.
    Type: Grant
    Filed: July 23, 2008
    Date of Patent: July 24, 2012
    Assignee: Axcelis Technologies, Inc.
    Inventors: Joseph D. Gillespie, Sor Kham, Robert E. Wayne
  • Patent number: 8221045
    Abstract: A semiconductor manufacturing apparatus and a wafer loading/unloading method thereof increase productivity.
    Type: Grant
    Filed: January 8, 2009
    Date of Patent: July 17, 2012
    Assignee: Samsung Electronics Co., Ltd.
    Inventors: Jun-Sig Park, Jung-Hyeon Kim, Jin-Ho Shin, Gennady Ivanov
  • Patent number: 8215890
    Abstract: A method and system for aligning robotic wafer transfer systems provides a wafer cassette having one or more wafer slots having portions covered with an electrically conductive material and a sensor that is in electrical communication with the electrically conductive material. When a wafer is loaded into a wafer cassette such as may be contained within a wafer transfer module such as a FOUP, an indication of position is delivered to the sensor which detects the alignment and indicates if the loaded wafer undesirably contacts either or both of the opposed grooves that form the wafer slot of the wafer cassette. An indication of the wafer's position may be provided from the sensor to a controller that delivers a signal for aligning the wafer transfer blade of the wafer transfer robot responsive to the signal indicative of position.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: July 10, 2012
    Assignee: Taiwan Semiconductor Manufacturing Co., Ltd.
    Inventors: Kuo-Shu Tseng, Yi-Chang Sung, Chia-Chi Tsao, Chih-Che Lin
  • Patent number: 8202034
    Abstract: A robot according to this invention includes a driving mechanism, a first arm rotatably connected to the driving mechanism, a second arm rotatably connected to the first arm, and an X-shaped end effector rotatably disposed at the distal end of the second arm. Of the four distal ends of the end effector, two distal ends include holding units which can hold substrates in one direction, and the remaining two distal ends include holding units which can hold substrates in the opposite direction.
    Type: Grant
    Filed: September 1, 2010
    Date of Patent: June 19, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Hiroshi Sone, Ryuji Higashisaka, Kazutoshi Yoshibayashi, Tatsunori Sato, Tatsuhiro Takahashi
  • Patent number: 8182009
    Abstract: An apparatus for transporting a substrate is provided. The apparatus includes an upper portion housing an electric motor, the electric motor having a drive shaft. A motor housing encloses the electric motor. A pivot bracket having a first end and a second end, where the first end of the pivot bracket is coupled to the drive shaft. The apparatus includes a lower portion having a top surface affixed to the second end of the pivot bracket. The lower portion includes a plurality of paddle assemblies each having support extensions extending though a bearing assembly of the lower portion. Each of the paddle assemblies has an independent drive assembly disposed between corresponding support extensions, wherein the lower portion translates between a vertical and horizontal orientation by pivoting around an axis of the driveshaft.
    Type: Grant
    Filed: March 12, 2009
    Date of Patent: May 22, 2012
    Assignee: Xyratex Technology, Ltd.
    Inventors: Jon Jamieson, Franco Eng, Srinivasan Sundararajan, Troy Kreger, Sasha Belenky, Janry Kojangian
  • Patent number: 8177469
    Abstract: An apparatus and method for handling disks as part of a magnetic disk manufacturing process is provided. In one embodiment, during a drying process the disks are engaged at the inner diameter rather than the outer diameter to eliminate the formation of residue on the surface of the disks at or proximate data zones. The disks may be engaged individually or in pairs.
    Type: Grant
    Filed: November 10, 2008
    Date of Patent: May 15, 2012
    Assignee: Seagate Technology LLC
    Inventor: Daniel Peinovich
  • Patent number: 8172646
    Abstract: Provided are magnetically actuated wafer chucks that permit a wafer to be clamped or unclamped at any time during a process and at any rotational speed, as desired. Such wafer chucks may include constraining members that are movable between open and closed positions. In a closed position, a constraining member aligns the wafer after wafer handoff and/or clamps the wafer during rotation to prevent it from flying off the chuck. In an open position, the constraining member moves away from the wafer to allow liquid etchant to flow from the wafer edge without obstruction. The constraining members may be, for example, cams, attached to arms or links of the chuck. The cams or other constraining members move between open and closed positions by self-balancing forces including a first force, such as a spring force, that acts to move a cam in a first direction, and a non-contact actuate-able force, such as a magnetic force, that acts to move the cam in the opposite direction.
    Type: Grant
    Filed: February 27, 2009
    Date of Patent: May 8, 2012
    Assignee: Novellus Systems, Inc.
    Inventors: Jingbin Feng, Aaron LaBrie, Kousik Ganesan
  • Patent number: 8172291
    Abstract: A substrate transport apparatus includes: a pair of arm units having a substrate-carrying surface on which a substrate is placed; a support member freely rotatably supporting the arm unit; and an inclination device inclining the arm unit with each of the substrate-carrying surface facing one another.
    Type: Grant
    Filed: November 17, 2009
    Date of Patent: May 8, 2012
    Assignee: Olympus Corporation
    Inventor: Hiroyasu Hebiishi
  • Patent number: 8166641
    Abstract: Disclosed are a holding tray, a substrate alignment system using the same and a method thereof. More specifically, the present invention relates to a holding tray for substrate capable of accomplishing high-precision alignment and conducting a stable deposition. A holding means is included in at least one side of the substrate to hold and support the substrate in a manner that the substrate is vertically held and supported on a flat surface of the holding tray during a vacuum process. The holding tray according to the present invention, the substrate alignment system using the same, and the method thereof include a substrate on which a deposition is made, a frame formed to receive the substrate, a tray formed to receive the frame, and at least one holding means formed to hold the substrate on the frame.
    Type: Grant
    Filed: January 5, 2006
    Date of Patent: May 1, 2012
    Assignee: Samsung Mobile Display Co., Ltd.
    Inventors: Sang-Jin Han, Kwan-Seop Song, Hee-Cheol Kang, Seok-Heon Jeong
  • Patent number: 8157307
    Abstract: The invention relates to a handling device and to a handling method for wafers, in particular for wafers with a thickness of less than 100 ?m. According to the invention it is provided that an adhesive membrane is arranged so as to delimit at least one workspace, the volume of which can be changed by supplying or removing pressurising medium, and in that the size of the contact surface between the adhesive membrane and the wafer can be adjusted by changing the workspace volume.
    Type: Grant
    Filed: February 10, 2011
    Date of Patent: April 17, 2012
    Inventor: Erich Thallner
  • Patent number: 8147924
    Abstract: An apparatus for manufacturing a magnetic recording disk includes a magnetic-film deposition chamber in which a magnetic film for a recording layer is deposited on a substrate; a lubricant-layer preparation chamber in which a lubricant layer is prepared on the substrate in vacuum; and a cleaning chamber in which the substrate is cleaned in vacuum after the magnetic-film deposition in the magnetic-film chamber and before the lubricant-layer preparation in the lubricant-layer chamber. The apparatus may further include a transfer system that transfers the substrate from the cleaning chamber to the lubricant-layer preparation chamber without exposing the substrate to the atmosphere.
    Type: Grant
    Filed: September 2, 2008
    Date of Patent: April 3, 2012
    Assignee: Canon Anelva Corporation
    Inventors: Naoki Watanabe, Nobuyoshi Watanabe, Kazunori Tani, Shinji Furukawa, Hiromi Sasaki, Osamu Watabe
  • Patent number: 8146973
    Abstract: An end effector assembly for a substrate transfer robot is described. The end effector assembly includes a robot wrist. At least one end effector is secured to the robot wrist. The end effector has a fixed end, a free end, a load-supporting surface and a tension member. The fixed end is coupled with the robot wrist. The free end is disposed opposite to the fixed end. The load-supporting surface is coupled between the fixed end and the free end. The tension member is coupled with the load-supporting surface between the fixed end and the free end.
    Type: Grant
    Filed: March 13, 2008
    Date of Patent: April 3, 2012
    Assignee: Applied Materials, Inc.
    Inventor: John Roberts
  • Patent number: 8141926
    Abstract: There is provided a robot hand for substrate transfer in which the robot hand is so arranged that, even in case a substrate (S) gives rise to warping, the substrate (S) can be stably supported. On an upper surface of the robot hand there is formed a first seating surface on which is seated a lower-surface peripheral portion of the substrate (S) and, on a periphery thereof, there is formed an upwardly extended step. The step is provided with a plurality of stair-shaped stages. On an upper surface of the robot hand inwardly away from the first seating surface there is provided a second seating surface which is inclined downward toward the center of the substrate (S) such that a lower surface of the substrate (S) is seated on the second seating surface when the substrate (S) is warped downward into a concave shape.
    Type: Grant
    Filed: February 4, 2009
    Date of Patent: March 27, 2012
    Assignee: Ulvac, Inc.
    Inventors: Yoshinori Fujii, Shinya Nakamura
  • Patent number: 8128336
    Abstract: The invention relates to a device for gripping a CD from the top of a stack for automated processing, by putting actuated deflectable fingers in the central opening of the CD. Axial displacement is used to force the fingers radially outward. The axial displacement is advantageously provided by abutting a relatively axially displaceable part of the gripper against a fixed object and continue axial displacement of the gripper. Use is made of an arrangement similar to the actuating mechanism of a ball-point to extend and retract the writing tip or the mechanical arrangement used in electrical micro switches operated by a push button (e.g. the Short Stroke Key Switches of ITT Industries). This arrangement is combined with an electrical switch to detect the extended or retracted position of the fingers to provide a corresponding electrical signal to a control means.
    Type: Grant
    Filed: July 2, 2007
    Date of Patent: March 6, 2012
    Assignee: Microservice Tecnologia Digital da Amazonia LTDA
    Inventor: Alfred Kramp