Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 5445851
    Abstract: A tabular diamond crystal is formed on a substrate by gas phase synthesis, wherein the diamond crystal has a ratio of thickness to width of from 1:4 to 1:1,000 and the surface of the substrate on which the diamond crystal has been formed and the top surface of the diamond crystal are at an angle ranging from 0.degree. to 10.degree..
    Type: Grant
    Filed: August 29, 1994
    Date of Patent: August 29, 1995
    Assignee: Canon Kabushiki Kaisha
    Inventors: Keiji Hirabayashi, Yoichi Hirose
  • Patent number: 5439753
    Abstract: A method for making an electron emissive film is provided. A substrate and a reaction chamber having a temperature and a pressure is provided. The temperature and the pressure are adjusted to a desired temperature and a desired pressure. A substrate is place into the reaction chamber with hydrocarbon gas being flowed into the chamber. A plasma is ignited in the reaction chamber so as to form a tetrahedral shaped compound in the reaction chamber which aids in deposition of an electron emissive material on the substrate.
    Type: Grant
    Filed: October 3, 1994
    Date of Patent: August 8, 1995
    Assignee: Motorola, Inc.
    Inventor: Stephen P. Rogers
  • Patent number: 5436036
    Abstract: A method of vapor-phase synthesizing a hard material use a raw material gas supplied into a reaction tube (6) while irradiating a region of the reaction tube (6) with microwaves (18) of a prescribed frequency for causing a synthesizing reaction to produce the hard material along a prescribed direction, by a plasma generation. In the reaction tube (6), at least two plate electrodes (17a, 17b, 19a, 19b) are oppositely arranged in parallel vertically to electric fields of the microwaves (18), so that the plasma is excited between the plate electrodes (17a, 17b, 19a, 19b) for vapor-phase synthesizing the hard material. The microwaves (18) of high electric power are introduced into the reaction tube (6) through a waveguide (5) without loss, so that strong electric fields can be homogeneously and stably distributed between the opposite plate electrodes.
    Type: Grant
    Filed: June 17, 1993
    Date of Patent: July 25, 1995
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Hiromu Shiomi, Naoji Fujimori, Nobuhiro Ota, Takahiro Imai
  • Patent number: 5431963
    Abstract: The electrical field at the surface of an electrode is graded by depositing a semiconductive coating thereon. An electrode substrate is powered at a preselected temperature and power. A mixture of gases is then passed through an electrical discharge to ionize at least a portion thereof to form the semiconductive coating on the surface of the electrode. A diamondlike carbon (DLC) film is deposited by plasma enhanced chemical vapor deposition onto a substrate. A substrate is maintained at a preselected DLC forming temperature and is negatively biased at a first preselected voltage. A first gaseous mixture of hydrocarbons and argon is then passed through an electrical discharge to at least partially ionize the hydrocarbons to form DLC film on the substrate. The substrate is then negatively biased at a second preselected voltage lower than the first preselected voltage.
    Type: Grant
    Filed: March 18, 1994
    Date of Patent: July 11, 1995
    Assignee: General Electric Company
    Inventors: Stefan J. Rzad, Michael W. DeVre
  • Patent number: 5431968
    Abstract: Methods and apparatuses for coating materials, and the products and compositions produced thereby. Substances, such as diamond or diamond-like carbon, are deposited onto materials, such as a filament or a plurality of filaments simultaneously, using one or more cylindrical, inductively coupled, resonator plasma reactors.
    Type: Grant
    Filed: March 24, 1994
    Date of Patent: July 11, 1995
    Inventors: Paul A. Miller, Paul D. Pochan, Michael P. Siegal, Frank Dominguez
  • Patent number: 5427826
    Abstract: Proposed is an improvement in the process for forming a superhard carbonaceous coating film on various articles by the plasma-induced CVD method using an apparatus in which microwaves generated in an oscillator are introduced into the CVD chamber through a waveguide duct partitioned from the CVD chamber by a gas shield.
    Type: Grant
    Filed: September 29, 1994
    Date of Patent: June 27, 1995
    Assignee: Shin-Etsu Chemical Co., Ltd.
    Inventor: Tamaki Iida
  • Patent number: 5423475
    Abstract: An aluminum body having diamond bonded thereto with a diffused interlayer of silicon is described.
    Type: Grant
    Filed: October 6, 1993
    Date of Patent: June 13, 1995
    Assignee: Westinghouse Electric Corporation
    Inventor: Michael A. Burke
  • Patent number: 5418018
    Abstract: A chemical vapor deposition (CVD) technique (process and apparatus) for the growth of diamond films using vapor mixtures of selected compounds having desired moieties, specifically precursors that provide carbon and etchant species that remove graphite disclosed. The selected compounds are reacted in a plasma created by a confined rf discharge to produce diamond films on a diamond or a non-diamond substrate. In a preferred embodiment a gas phase mixture including at least 20% water which provides the etchant species is reacted with an alcohol which provides the requisite carbon precursor at low temperature (300.degree.-650.degree. C.) and low pressure (0.1 to 10 Torr), preferably in the presence of an organic acid (acetic acid) which contributes etchant species reactant. In the preferred embodiment the volumetric mixtures have typically been 40-80% water and 60-20% alcohol. The gaseous mixture of H.sub.2 O and alcohol is dissociated to produce H, OH, and carbon radicals.
    Type: Grant
    Filed: May 14, 1993
    Date of Patent: May 23, 1995
    Assignee: Research Triangle Institute
    Inventors: Ronald A. Rudder, George C. Hudson, Robert C. Hendry, Robert J. Markunas
  • Patent number: 5418198
    Abstract: A pelletizable gunning composition is provided which exhibits excellent flow characteristics, minimal release of dust, and excellent adhesion and resistance to slag. The gunning composition includes high density magnesium oxide as the primary component, and lesser quantities of powdered metal, refractory clay, binder and, preferably, graphite. The dry components are mixed together, and the binder is added and mixed, resulting in a composition that can be pelletized. After pelletizing, the composition can be coated with a dusting material to facilitate pellet flow and prevent pellet agglomeration.
    Type: Grant
    Filed: August 23, 1993
    Date of Patent: May 23, 1995
    Assignee: Magneco/Metrel, Inc.
    Inventors: Charles W. Connors, Sr., Subrata Banerjee
  • Patent number: 5413821
    Abstract: A method of applying a Cr-bearing layer to a substrate, comprises introducing an organometallic compound, in vapor or solid powder form entrained in a carrier gas to a plasma of an inductively coupled plasma torch or device to thermally decompose the organometallic compound and contacting the plasma and the substrate to be coated so as to deposit the Cr-bearing layer on the substrate. A metallic Cr, Cr alloy or Cr compound such as chromium oxide, nitride and carbide can be provided on the substrate. Typically, the organometallic compound is introduced to an inductively coupled plasma torch that is disposed in ambient air so to thermally decompose the organometallic compound in the plasma. The plasma is directed at the substrate to deposit the Cr-bearing layer or coating on the substrate.
    Type: Grant
    Filed: July 12, 1994
    Date of Patent: May 9, 1995
    Assignee: Iowa State University Research Foundation, Inc.
    Inventors: Timothy W. Ellis, Thomas A. Lograsso, Mark A. Eshelman
  • Patent number: 5411758
    Abstract: A method is disclosed for making a wear component that includes providing a base surface, producing a synthetic diamond film having at least a particular equivalent strain, and applying the diamond film to the base surface. A method is also disclosed for producing synthetic diamond for use as a wear surface, by chemical vapor deposition wherein the equivalent strain of the synthetic diamond is monitored, and deposition parameters are modified when the equivalent strain of the synthetic diamond is less than a predetermined percentage.
    Type: Grant
    Filed: October 9, 1991
    Date of Patent: May 2, 1995
    Assignee: Norton Company
    Inventor: Matthew Simpson
  • Patent number: 5405645
    Abstract: A process for depositing diamond on a substrate using a microwave plasma generator including providing carbon, hydrogen and oxygen in a desired ratio to the microwave plasma generator, and providing sufficient microwave power to the microwave plasma generator to produce a greenish-colored plasma with the C.sub.2 emission at 5165 Angstroms (.ANG.) at a level of from 0.5 to 50 times the atomic hydrogen alpha emission level at 6563 .ANG., for depositing high quality diamond at an extremely high rate on the substrate placed proximate or in the plasma.
    Type: Grant
    Filed: July 28, 1993
    Date of Patent: April 11, 1995
    Assignee: Applied Science and Technology Inc.
    Inventors: Evelio Sevillano, Lawrence P. Bourget, Richard S. Post
  • Patent number: 5403628
    Abstract: A process for producing a cutting tool includes the steps of subjecting a sintered body to a nitrogen gas pressure treatment conducted under a pressure ranging between 0.2 and 10 MPa and at a temperature between 900.degree. C. to 1300.degree. C. for a period of at least 0.5 hours, evacuating a protective gas at a pressure between 10 Pa and 20 kPa at temperatures between 1000.degree. C. and 1350.degree. C. over more than 0.5 hours before the sintered body is cooled under a pressure between 10 Pa and 0.1 Pa, thereby forming a binding layer and treating a metal base body with CVD or PVD or plasma activated CVD for coating the metal base body with the binding layer.
    Type: Grant
    Filed: May 7, 1993
    Date of Patent: April 4, 1995
    Assignee: Krupp Widie GmbH
    Inventors: Udo Konig, Hans Kolaska
  • Patent number: 5393572
    Abstract: The present invention relates to an ion beam assisted method of producing a diamond like carbon (DLC) coating on the surface of a material. More particular, the invention relates to an ion beam assisted method using a fullerene precursor to produce a DLC coating, wherein the chemical composition of the ion beam and precursor are selectively chosen to determine the physical properties of the DLC coating.
    Type: Grant
    Filed: July 11, 1994
    Date of Patent: February 28, 1995
    Assignee: Southwest Research Institute
    Inventor: Geoffrey Dearnaley
  • Patent number: 5391409
    Abstract: A diamond film deposited on a substrate heated at less than 400.degree. C. in vapor phase from material gas including hydrogen gas and hydrocarbon gas often incurs low strength, low abrasion resistance, and opacity owing to high concentration of non-diamond ingredients. The inventors have discovered that inclusion of nitrogen gas in material gas is likely to raise the concentration of non-diamond ingredients. Good diamond film of good quality shall be obtained by synthesizing from the material gas in which the nitrogen concentration is less than 1000 ppm. The smaller the nitrogen concentration is suppressed, the higher the quality of diamond rises.
    Type: Grant
    Filed: April 1, 1992
    Date of Patent: February 21, 1995
    Assignee: Sumitomo Electric Industries, Ltd.
    Inventors: Takayuki Shibata, Nobuhiro Ota, Naoji Fujimori
  • Patent number: 5389400
    Abstract: A method for producing a diamond/carbon/carbon composite is provided which includes the steps of densifying a preform of interwoven vapor grown carbon fibers form a carbon/carbon composite, and then depositing a polycrystalline diamond film on the carbon/carbon composite. The preform may be densified by depositing pyrolyric carbon into the interstices of the preform, either by a chemical vapor infiltration process or by a pitch infiltration process. The polycrystalline diamond film is deposited on the carbon/carbon composite by a microwave plasma enhanced chemical vapor deposition process. The resulting diamond/carbon/carbon composite can be utilized as an integral dielectric heat sink by depositing metallic circuits on the diamond layer of the diamond/carbon/carbon composite.
    Type: Grant
    Filed: April 7, 1993
    Date of Patent: February 14, 1995
    Assignee: Applied Sciences, Inc.
    Inventors: Jyh-Ming Ting, Max L. Lake
  • Patent number: 5389407
    Abstract: An apparatus (10) for applying a thermal spray coating onto a substrate (24) includes a chamber (16) having an open bottom portion (18), a gas source for releasing a gas lighter than oxygen into the chamber (16) and displacing the oxygen from the chamber (16), and a spray gun (30) for spraying coating through the gas in the chamber (16) and onto the substrate (24).A method is also provided including the steps of displacing oxygen from the chamber (16) with the gas, and spraying the coating through the gas and onto the substrate (24) disposed within the chamber, the gas preventing oxidation between the substrate (24) and layers of the coating.
    Type: Grant
    Filed: October 30, 1992
    Date of Patent: February 14, 1995
    Assignee: Sermatech International, Inc.
    Inventor: Larry Sokol
  • Patent number: 5382293
    Abstract: An apparatus for depositing a diamond film on a substrate includes a first electrode formed as an enclosed body having a nozzle for jetting thermal plasma opening therefrom and a second electrode of opposite polarity positioned in the nozzle. The apparatus additionally includes a power source for applying a direct current voltage between the electrodes. A gas is fed between the electrodes as a direct current voltage is applied thereto, whereby the gas is formed into a thermal plasma which is jetted through the nozzle. A starting gas feed system is included for feeding gaseous starting compounds for vapor phase deposition to the plasma jet and a powder supplying pipe is provided for feeding a metal powder between the electrodes.
    Type: Grant
    Filed: July 28, 1993
    Date of Patent: January 17, 1995
    Assignee: Fujitsu Limited
    Inventors: Motonobu Kawarada, Kazuaki Kurihara, Ken-ichi Sasaki, Akitomo Teshima, Nagaaki Koshino
  • Patent number: 5382274
    Abstract: A mold for use for press-molding an optical element, in which a molding surface of a mold base material is coated with a hard carbon film containing 0-5 atom% of hydrogen. It has a spin density of 1.times.10.sup.18 spin/cm.sup.3 or less and a film density of at least 1.5 g/cm.sup.3.
    Type: Grant
    Filed: December 31, 1992
    Date of Patent: January 17, 1995
    Assignee: Canon Kabushiki Kaisha
    Inventors: Kiyoshi Yamamoto, Keiji Hirabayashi, Noriko Kurihara, Yasushi Taniguchi, Keiko Ikoma
  • Patent number: 5380557
    Abstract: Carbon fluoride solid compositions having a low fluorine-to-carbon ratio are produced by chemical vapor deposition processes. These carbon fluoride compositions have improved wettability characteristics over polytetrafluoroethylene. These compositions can be used as films, coatings on substrates, powders, and stand-alone articles. These carbon fluoride compositions are produced by energizing vapors comprised of compounds containing fluorine and carbon to cause them to degrade into fragments which are then condensed onto a substrate to form the carbon fluoride solids. These vapors can be energized by a variety of techniques, including hot filament techniques. The carbon fluoride solids find utility as films in aircraft de-icing, cookware, appliances, surgical tooling, chemical processing, gaskets, seals, diaphragms, packings, valve seats, windings, mold release components, extruder coatings, medical prosthetics, and other similar non-wetting applications.
    Type: Grant
    Filed: June 3, 1993
    Date of Patent: January 10, 1995
    Assignee: General Electric Company
    Inventor: Clifford L. Spiro
  • Patent number: 5370912
    Abstract: A method for depositing diamond film on a substrate utilizing a tuneable microwave cavity with an adjustable height and antenna and an electrically insulated chamber comprises the steps of decreasing the pressure within the chamber, creating a plasma including hydrogen gas within the chamber, tuning the cavity by varying its height and the depth of insertion of the antenna to minimize reflected power and properly position the plasma on the substrate, injecting a hydrocarbon gas into the chamber, and maintaining the plasma for a sufficient time for diamond film of the desired thickness to be deposited.
    Type: Grant
    Filed: July 20, 1993
    Date of Patent: December 6, 1994
    Assignee: Norton Company
    Inventors: Louis K. Bigelow, James T. Hoggins, Deborah Gunderson, Cristan Ellison
  • Patent number: 5368937
    Abstract: The present invention discloses a carbon film on a substrate formed by increasing a bias voltage during a plasma CVD process, wherein the entire carbon film is amorphous and the hardness of the film increases away from the substrate in the direction of the thickness of the film.
    Type: Grant
    Filed: December 12, 1990
    Date of Patent: November 29, 1994
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Kenji Itoh
  • Patent number: 5364423
    Abstract: Synthetic diamond film produced by chemical vapor deposition can be crushed to obtain diamond grit which has useful abrasive properties. The flexibility of CVD deposition processes in determining diamond film properties means that CVD diamond grit properties can be tailored to particular abrasive applications. In a disclosed embodiment, the grit particles are coated with a magnetic material. The coated grit particles can then be aligned with a magnetic field, and the coated grit particles are bonded to a matrix while aligned. In a further disclosed form of the invention, a chemical vapor deposition system, such as an arc jet plasma deposition system, is provided in a chamber.
    Type: Grant
    Filed: May 6, 1993
    Date of Patent: November 15, 1994
    Assignee: Norton Company
    Inventors: Louis K. Bigelow, Frank J. Csillag, James T. Hoggins
  • Patent number: 5358754
    Abstract: A method for forming diamond films by vapor phase synthesis comprising a process of forming the diamond films on a substrate by direct current discharge plasma, in an atmosphere of a reaction gas including a gas containing at least carbon and hydrogen, or in an atmosphere of a mixed gas containing at least a carbon-containing gas and a hydrogen gas, at a gas pressure between 0.1 and 5 Torr and a substrate temperature between 300.degree. and 1000.degree. C.
    Type: Grant
    Filed: July 6, 1992
    Date of Patent: October 25, 1994
    Assignee: Kabushiki Kaisha Kobe Seiko Sho
    Inventors: Koji Kobashi, Shigeaki Miyauchi, Kozo Nishimura, Kazuo Kumagai, Rie Kato
  • Patent number: 5358755
    Abstract: Amorphous hydrogenated silicon-carbon alloys having particular usefulness in the preparation of photovoltaic devices, such as solar cells, with improved properties, such as high open circuit voltage with high fill factor and improved blue response, and stability, are provided by the process of depositing the alloy on a substrate maintained at a relatively low temperature below about 260.degree. C. in a vapor deposition chamber, and introducing a gaseous mixture comprising at least one compound having the formula (SiX.sub.3).sub.3 CX.sup.1 wherein each X and X.sup.1 is selected from the group consisting of hydrogen and halogen, and a high proportion of hydrogen, in a ratio by volume of from about 50 parts to about 2000 parts hydrogen to 1 part of (SiX.sub.3).sub.3 CX.sup.1 compound, under deposition conditions of low excitation power density of less than about 50 mw/cm.sup.2, and high pressure of more than about 0.1 torr.
    Type: Grant
    Filed: August 13, 1993
    Date of Patent: October 25, 1994
    Assignee: Amoco Corporation
    Inventors: Yuan-Min Li, Benjamin F. Fieselmann
  • Patent number: 5354584
    Abstract: A material growing by deposition is exposed to a low energy beam of ionized dopant. The ion beam energy is sufficient to implant the dopant in the growing surface of the material. This doping method will work well for any dopant that is substantially immobile in the material at the temperature necessary for deposition growth.
    Type: Grant
    Filed: May 7, 1993
    Date of Patent: October 11, 1994
    Assignee: Schmidt Instruments, Inc.
    Inventor: Howard K. Schmidt
  • Patent number: 5352494
    Abstract: Process for the production of a composite material protected against oxidation and the material obtained by the process. The invention relates to a process for the production of a material incorporating a composite body on which an aluminum nitride layer is directly deposited and then a tight layer of a refractory oxide in order to ensure its protection against environmental oxidation. The aluminum nitride layer is attached to the composite by an aluminum carbide layer. The oxide layer is an alumina layer.
    Type: Grant
    Filed: July 8, 1992
    Date of Patent: October 4, 1994
    Assignee: Societe Nationale Industrielle et Aerospatiale
    Inventor: Gerard Rousseau
  • Patent number: 5352493
    Abstract: The present invention relates to the formation of a class of nanocomposite amorphous materials consisting of interpenetrating random networks of predominantly sp3 bonded carbon stabilized by hydrogen, glass-like silicon stabilized by oxygen and random networks of elements from the 1-7b and 8 groups of the periodic table. The materials have high strength and microhardness, flexibility, low coefficient of friction and high thermal and chemical stability. Nanocomposites containing networks of metallic elements can have conductivity variable from insulating dielectric to metallic. The materials have a wide range of applications as protective coatings and as electrically active materials. Metallic nanocomposites can exhibit superconductivity at low temperatures.
    Type: Grant
    Filed: May 3, 1991
    Date of Patent: October 4, 1994
    Assignee: Veniamin Dorfman
    Inventors: Veniamin Dorfman, Boris Pypkin
  • Patent number: 5344551
    Abstract: A method is provided for preparing a diamond coating on a substrate. The method includes a first step of applying a partial diamond coating having an effective amount of void area therein to the work surface of a substrate. In a follow-up step the void area in the partial coating is filled with binder, preferably metallic binder. In a later step, diamond projecting outwardly from the binder is further grown, to generate a covering portion or a head portion extending over, and in protective relationship with, the binder or binder material. According to the present invention preferred products are also provided.
    Type: Grant
    Filed: September 14, 1993
    Date of Patent: September 6, 1994
    Assignee: Regents of the University of Minnesota
    Inventors: Chung-hsien Tsai, John C. Nelson, Joachim V. R. Herberlein, Emil Pfender, William W. Gerberich
  • Patent number: 5342660
    Abstract: The disclosure is directed to a method for depositing a substance, such as synthetic diamond. A plasma beam is produced, and contains the constituents of the substance to be deposited. A substrate is provided, and has a surface in the path of the beam, the area of said surface being substantially larger than the cross-sectional area of the beam impinging on the surface. Repetitive motion is introduced between the substrate and the beam as the substance is deposited on the surface. The substrate, the beam, or both can be moved. Spinning of the substrate, with the beam non-concentric thereon, is one of the disclosed techniques.
    Type: Grant
    Filed: May 10, 1991
    Date of Patent: August 30, 1994
    Assignee: Celestech, Inc.
    Inventors: Gordon L. Cann, Cecil B. Shepard, Jr.
  • Patent number: 5340401
    Abstract: In a first embodiment of an improved diamond deposition cell, a chamber is evacuated to a low pressure and a graphite element in the chamber is heated to a selected high temperature and heats a substrate positioned within the chamber spaced by a selected gap from the graphite body to a selected lower temperature. Hydrogen or a mixture of hydrogen and hydrocarbon gas is admitted to the chamber and part of the hydrogen reacts with the hot graphite body to form atomic hydrogen and hydrocarbon gasses. Hydrogen and hydrocarbon gasses cycle repeatedly across the gap between the facing surfaces of the body and the substrate in the kinetic regime resulting in a net transfer of carbon to the substrate and its deposition as diamond crystals or film on the substrate. In a second embodiment, the graphite body is heated by combusting gasses in a cavity therein.
    Type: Grant
    Filed: January 25, 1993
    Date of Patent: August 23, 1994
    Assignee: Celestech Inc.
    Inventor: Gordon L. Cann
  • Patent number: 5338364
    Abstract: A process and apparatus for producing a diamond film from a gas phase, in which a fuel gas is burnt by oxygen gas in a torch, to thereby provide a gas flame jet having a high temperature and high speed sufficient to form a high quality diamond film on a substrate, by an unlimited use of various kinds of combustible carbon compound gases as the fuel gas. A process and apparatus for producing a diamond film from a gas phase, in which a thermal spray material is fed to a combustion flame to thereby form an intermediate layer of a mixture of the thermal spray material and diamond between a substrate and a diamond film, to thereby provide a high purity diamond film having an improved adhesion to the substrate.
    Type: Grant
    Filed: December 21, 1992
    Date of Patent: August 16, 1994
    Assignee: Fujitsu Limited
    Inventors: Kazuaki Kurihara, Kenichi Sasaki, Motonobu Kawarada
  • Patent number: 5330802
    Abstract: A plasma CVD method for forming a carbonaceous material containing diamond or microcrystalline grains therein is disclosed, which comprises placing a substrate in a reaction chamber said substrate provided with reduced Ni, Ge, or Mn on its surface; inputting a carbon compound gas into said reaction chamber; supplying an electric energy to said gas to convert said gas to a plasma; and forming said cabonaceous material on said substrate, wherein said reduced Ni, Ge, or Mn act as seeds on said surface of said substrate to promote formation of said carbonaceous material.
    Type: Grant
    Filed: June 11, 1992
    Date of Patent: July 19, 1994
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventor: Shumpei Yamazaki
  • Patent number: 5320877
    Abstract: In an apparatus for forming a thin film on substrates, two substrate supporters having respective recesses therein are connected by a shaft so that the recesses oppose one another, and opposing ends of many rod-like substrates are supported on the side walls of the recesses. Then, the substrates are rotated on the side walls as the substrate supporters are revolved, and the substrates shift their positions under their own weight. In this state, deposition particles impinge against the substrates from a material source, while the rod-like substrates are stirred so that each region of the outer peripheries thereof has an equal probability of having the film deposited thereon. Thus, a uniform thin film is formed on the surface of every substrate. The numbers of recesses may be two or more. Further, a nest of substrate supporters may be used.
    Type: Grant
    Filed: October 31, 1991
    Date of Patent: June 14, 1994
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hirokazu Nakaue, Hideo Kurokawa, Tsutomo Mitani
  • Patent number: 5318809
    Abstract: Apparatus for the deposition of a material such as diamond on a substrate by chemical vapor deposition is provided. The apparatus comprises:support shelf on which the substrate is located;a resistance heating element in the form of an elongate strip of conductive material having at least one curved section in its length; the elongate strip being positioned relative to, and spaced from, the substrate such that it presents an elongate edge to the substrate and an elongate edge away from the substrate; andmeans such as a perforated pipe for causing reaction gas for the deposition to pass across the elongate strip from one longitudinal edge to the other and then to the support shelf and the substrate located thereon.
    Type: Grant
    Filed: November 4, 1992
    Date of Patent: June 7, 1994
    Inventor: Ricardo S. Sussman
  • Patent number: 5318806
    Abstract: In a method to modify the chemistry of a portion of the surface of an article, the surface is placed adjacent an electrode in a plasma chamber, the distance to the wall of the chamber being at least five times the size of the electrode. A plasma of very high power density is generated in a discharge volume surrounding the electrode so that the surface area closest to the electrode receives a very intense plasma which diminishes with increasing distance from the discharge volume thus forming a chemical gradient on the article surface. The preferred article is a tube having one closed end, and the gradient may be formed from either the closed end or the open end. The gradient may be of decreasing glass-like character or decreasing plastic like character.
    Type: Grant
    Filed: October 2, 1992
    Date of Patent: June 7, 1994
    Assignee: Becton, Dickinson and Company
    Inventors: David B. Montgomery, Erwin A. Vogler
  • Patent number: 5314726
    Abstract: A process for forming a diamond gas phase synthesized coating film which is easily controlled and affords a high quality, good adhesion strength diamond film includes a step of forming a mixed layer of a plasma spraying material and diamond by simultaneously conducting plasma injection by a plasma spraying, a first torch and plasma CVD by a CVD plasma, second torch to thereby form a mixed layer on the substrate. The first and second torches are structurally distinct and have respective, separately and selectively controlled plasma generation operating conditions.
    Type: Grant
    Filed: September 30, 1991
    Date of Patent: May 24, 1994
    Assignee: Fujitsu Ltd.
    Inventors: Kazuaki Kurihara, Motonobu Kawarada, Ken-ichi Sasaki, Akitomo Teshima
  • Patent number: 5314652
    Abstract: A method for making a free-standing synthetic diamond film of desired thickness, including the following steps: providing a substrate; selecting a target thickness of diamond to be produced, the target thickness being in the range 200 microns to 1000 microns; finishing a surface of the substrate to a roughness, R.sub.A, that is a function of the target thickness, the roughness being determined from ##EQU1## where t is the target thickness; depositing an interlayer on the substrate, the interlayer having a thickness in the range 1 to 20 microns; depositing synthetic diamond on the interlayer, by chemical vapor deposition, to about the target thickness; and cooling the synthetic diamond to effect the release thereof.
    Type: Grant
    Filed: November 10, 1992
    Date of Patent: May 24, 1994
    Assignee: Norton Company
    Inventors: Matthew Simpson, Robert M. Frey
  • Patent number: 5310512
    Abstract: Method and apparatus for producing a non-planar synthetic diamond structure of predetermined shape. A non-planar mandrel surface of the predetermined shape is provided and the mandrel surface is coated with a release substance. Synthetic diamond is deposited on the coating of release substance. The release substance is then activated to effect release of the deposited synthetic diamond structure. In a disclosed embodiment of the method of the invention a dome-shaped hollow mandrel is coated with a metal-containing substance, and synthetic diamond is deposited over the coating using a plasma jet deposition process. The metal containing substance is then heated to release the resultant diamond structure from the mandrel. In a form of the disclosed method, the metal-containing substance is a metal alloy which is highly polished before deposition of synthetic diamond thereon.
    Type: Grant
    Filed: November 15, 1990
    Date of Patent: May 10, 1994
    Assignee: Norton Company
    Inventor: Louis K. Bigelow
  • Patent number: 5308707
    Abstract: The process with a view to imparting to the treated article a resistance to frictional wear, a corrosion resistance, and a color, is characterized according to the invention in that the surface of the article is subjected to a thermochemical pretreatment under electric plasma in a vessel under an atmosphere containing reactive species such as N.sub.2 and hydrogen, the reactive species diffusing into and precipitating in the metal matrix of the article to form a diffusion sublayer and in that a layer of carbon in vapor phase is deposited under electric plasma on the surface thus treated, in the same vessel, the composition of the atmosphere for the pretreatment being gradually modified, on the one hand, by the introduction of a hydrocarbon and/or of a silane in the gaseous state and, on the other hand, by progressive reduction of the partial pressures of the reactive species employed during the pretreatment, and the maintenance of the hydrocarbon atmosphere for the carbon deposition.
    Type: Grant
    Filed: October 6, 1992
    Date of Patent: May 3, 1994
    Assignee: Nitruvid
    Inventors: Francois Cellier, Jean-Francois Nowak, Patrick Choquet
  • Patent number: 5304407
    Abstract: An apparatus for depositing a film at atmospheric pressure and a method used for this formation are offered. Radicals are produced inside a space in which an electric discharge is induced. This space is shrouded in a purge gas to isolate the space from the outside air, for preventing the radicals traveling to the surface of a substrate from being affected by the outside air. A magnetic field and a bias voltage are made to act on the produced plasma, so that the radicals can reach the substrate surface with greater ease. The arriving radicals promote the formation of the film on the surface of the substrate.
    Type: Grant
    Filed: February 22, 1993
    Date of Patent: April 19, 1994
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shigenori Hayashi, Shunpei Yamazaki
  • Patent number: 5302424
    Abstract: In plasma discharge to form a film on a magnetic tape of the like in a plasma CVD process, a hollow electrode 12 is arranged in a discharge tube 8 or arranged opposed to another electrode 34. A non-polymerizable gas is blown out from the inside of the hollow electrode 12 made from a sintered alloy, and impurities do not adhere to the electrode. Then, small traces of discharge are not generated on the magnetic tape and impurities do not contaminate the magnetic tape, so that a uniform thin film of high quality is manufactured at a high rate in an industrial scale.
    Type: Grant
    Filed: July 14, 1992
    Date of Patent: April 12, 1994
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Mikio Murai, Kiyoshi Takahashi, Masaru Odagiri, Hideyuki Ueda
  • Patent number: 5300951
    Abstract: A support containing iron as a major constituent is plasma-treated in an Ar-gas atmosphere containing carbon gas, to form a region on the main surface of the support. This region contains carbon at a high concentration. A plasma is generated in an Si- and N-containing gas atmosphere, to form an SiN ceramic layer on the main surface. The ceramic layer is placed contact with a rich carbon region on the surface of the support. The ceramic layer is firmly adhered to the support, by means of this region.
    Type: Grant
    Filed: May 18, 1993
    Date of Patent: April 5, 1994
    Assignee: Kabushiki Kaisha Toshiba
    Inventor: Mutsuki Yamazaki
  • Patent number: 5296274
    Abstract: A method of producing carbon-containing materials, residing in that, prior to electron-beam vacuum evaporation of graphite, on the surface of the graphite there is disposed a transition metal of Groups VI-VIII of the Periodic System or a mixture of at least two transition metals of said Groups, ensuring a higher rate of evaporation of graphite with respect to said metals or mixture, the metal or mixture is melted by an electron beam (10), evaporation of the graphite proceeding through the resulting melt (11) with subsequent condensation of the graphite on a support (4). In the course of gradual consumption of the graphite and said metal or mixture their supply into the resulting melt (11) is effected in such a manner that the consumed surface of the graphite should be completely overlapped by the melt (11).
    Type: Grant
    Filed: November 8, 1991
    Date of Patent: March 22, 1994
    Inventors: Boris A. Movchan, Nikolai I. Grechanjuk, Jury B. Chuikov, Boris E. Paton, Vladimir V. Stetsenko
  • Patent number: 5290610
    Abstract: Depositing a diamond film on an electron emitting tip including disposing hydrocarbon and etchant reactant gasses together with the tip in a reaction vessel and providing an external voltage source such that electrons, emitted from the electron emitter, disassociate hydrocarbon constituents of the reactant gas. The constituents accelerate toward and are deposited onto the tip and are selectively etched by the etchant constituents such that only the diamond form of the deposited carbon remains.
    Type: Grant
    Filed: February 13, 1992
    Date of Patent: March 1, 1994
    Assignee: Motorola, Inc.
    Inventors: Robert C. Kane, James E. Jaskie
  • Patent number: 5286534
    Abstract: The present invention provides a magnetic recording medium that includes a polymeric flexible substrate and a magnetic layer coating thereon, with a binderless carbon rich layer adhered to the magnetic layer.The present invention also provides a process for the plasma deposition of the carbon rich coating onto a magnetic medium comprising the steps of:a. providing a magnetic medium in a vacuum chamber;b. generating carbon rich plasma in the vacuum chamber by injecting a plasma gas suitable to provide a carbon rich coating into an elongated hollow cathode; and providing a sufficient voltage to create and maintain plasma; and maintaining a vacuum in the vacuum chamber sufficient for the plasma; andc. exposing the magnetic medium to the plasma while the magnetic medium is influenced by a radio frequency bias electrode to accelerate the plasma towards the magnetic medium and deposit the carbon rich coating on the magnetic medium.
    Type: Grant
    Filed: March 31, 1993
    Date of Patent: February 15, 1994
    Assignee: Minnesota Mining and Manufacturing Company
    Inventors: Gunter A. Kohler, Richard W. Duerst, Daniel P. Stubbs
  • Patent number: 5284685
    Abstract: A process for making a composite material wherein carbon reinforcing fibers may be coated with a carbon coating and a silicon carbide coating. The fibers are then densified in a carbon-based matrix, covered by a first silicon carbide layer, optionally sealed by a second silicon carbide coating, then an aluminum nitride or hafnium nitride coating and finally an outer alumina coating are applied. This stainless material can be used as a heat shield for space shuttles.
    Type: Grant
    Filed: July 7, 1992
    Date of Patent: February 8, 1994
    Assignee: Aerospatiale Societe Nationale Industrielle
    Inventor: Gerard Rousseau
  • Patent number: 5283087
    Abstract: A plasma process and an apparatus therefor are described. A number of substrates are disposed between a pair of electrodes, to which a high frequency electric power is applied in order to generate glow discharge and induce a plasma. The substrates in the plasma are applied with an alternating electric field. By virtue of the alternating electric field, the substrates are subjected to sputtering action.
    Type: Grant
    Filed: April 6, 1992
    Date of Patent: February 1, 1994
    Assignee: Semiconductor Energy Laboratory Co., Ltd.
    Inventors: Shunpei Yamazaki, Mitsunori Tsuchiya, Atsushi Kawano, Shinji Imatou, Kazuhisa Nakashita, Toshiji Hamatani, Takashi Inushima, Kenji Itou
  • Patent number: 5279866
    Abstract: A process for depositing on a sample surface a smooth, wear-resistant coating including the steps of providing a vacuum processing chamber for holding the sample to be coated, introducing into the processing chamber coating-forming reactants for deposition on the sample surface, and supplying to the processing chamber a plasma stream with an electron temperature of up to 10 eV, a directed ion energy of 5 to 50 eV, and an ion flux at the sample in the range of 0.1 to 100 milliamps per square centimeter for transferring energy to the deposited material to form the smooth coating.
    Type: Grant
    Filed: June 10, 1993
    Date of Patent: January 18, 1994
    Assignee: Applied Science and Technology Inc.
    Inventors: Lawrence Bourget, Richard S. Post
  • Patent number: 5277940
    Abstract: A metastable crystal layer is deposited by chemical plasma deposition on diamonds at subatmospheric pressure (10.sup.-3 Torr) at 850.degree.-1050.degree. C. The metastable layer enables the diamond to be metallurgically bonded to a suitable substrate.
    Type: Grant
    Filed: November 16, 1992
    Date of Patent: January 11, 1994
    Assignee: Diamond Technologies Company
    Inventor: Luis X. Caballero