Inorganic Carbon Containing Coating Material, Not As Steel (e.g., Carbide, Etc.) Patents (Class 427/577)
  • Patent number: 5616374
    Abstract: A method for deposition of an amorphous hard carbon film containing silicon and nitrogen on a substrate wherein a carbon source, a silicon source and a nitrogen source are introduced in a deposition chamber in which the substrate are placed to deposit the film on said substrate.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: April 1, 1997
    Assignee: Zexel Corporation
    Inventor: Kentaro Sho
  • Patent number: 5616368
    Abstract: In accordance with the present invention, a field emission device is made by pre-activating ultra-fine diamond particles before applying them to the device substrate. This initial pre-activation increases manufacturing speed and reduces cost and reduces potential damage to the device substrate from exposure to high temperature hydrogen plasma.
    Type: Grant
    Filed: January 31, 1995
    Date of Patent: April 1, 1997
    Assignee: Lucent Technologies Inc.
    Inventors: Sungho Jin, Gregory P. Kochanski, Wei Zhu
  • Patent number: 5616426
    Abstract: Substrates are disclosed having an ultra-smooth surface finish thereon rendering them useful in making high density magnetic storage components, such as a computer hard disk or thin-film head which can read and/or write data on such disks. The substrates are comprised of a non-oxide ceramic base which is coated with a smoothing layer comprised of amorphous, non-stoichiometric silicon carbide corresponding to the formula SiC.sub.x, wherein x is the molar ratio of carbon to silicon and is greater than 1. Also disclosed are processes for making the substrates and components.
    Type: Grant
    Filed: September 29, 1995
    Date of Patent: April 1, 1997
    Assignee: The Carborundum Company
    Inventors: Michael A. Tenhover, Irving B. Ruppel
  • Patent number: 5616373
    Abstract: The invention relates to a method for depositing a diamond coating on a workpiece, for instance a drawing die or a tool punch, whereby a reactive plasma supported coating method is used. According to the invention the generation of the plasma is made by a direct current discharge, whereby additionally a flow of charged particles is fed into the discharge gap; according to the invention the workpiece to be coated is positioned in the discharge gap. Due to the inventive design a relatively long discharge gap can be used, such that also large surface areas can be coated; the coating is made at a location of the highest homogeneity and density of the plasma. By means of the invention a method is provided which can be controlled regarding financial expenses and in a reliable manner and which is suitable for large surface area coating.
    Type: Grant
    Filed: March 18, 1994
    Date of Patent: April 1, 1997
    Assignee: Balzers Aktiengesellschaft
    Inventors: Johann Karner, Erich Bergmann, Helmut Daxinger
  • Patent number: 5614272
    Abstract: A process is disclosed for depositing diamond onto a small shaped graphite article by chemical vapor phase deposition, which includes placing the shaped graphite article in a suspension of diamond powder in a liquid, agitating the suspension containing the shaped article, removing the shaped graphite article from the suspension and drying it, and then carrying out the chemical vapor phase deposition of the diamond. Also disclosed are diamond coated shaped graphite articles (e.g., diamond coated graphite fibers having fiber diameters less than about 100 microns).
    Type: Grant
    Filed: May 13, 1994
    Date of Patent: March 25, 1997
    Assignee: E. I. Du Pont de Nemours and Company
    Inventor: Syed I. U. Shah
  • Patent number: 5607723
    Abstract: A continuous thin diamond film having a thickness of less than about 2 microns has a low leakage. The thin diamond film may be supported on a supporting grid and may be incorporated into an X-ray window. The film may be formed in a DC assisted CVD process where in a first phase a relatively high concentration of a carbonaceous gas is introduced into the reactor and in a second phase the concentration of the carbonaceous gas is reduced to a lower value.
    Type: Grant
    Filed: May 5, 1994
    Date of Patent: March 4, 1997
    Assignee: Crystallume
    Inventors: Linda S. Plano, Michael G. Peters, Kramadhati V. Ravi, John M. Pinneo
  • Patent number: 5601883
    Abstract: A microwave enhanced chemical vapor deposition method is provided for coating plastic articles with crystalline carbon films. First, a reactive gas including hydrogen gas and a carbon containing raw material gas is introduced into a reaction chamber. Next, a magnetic field is established in the reaction chamber. Microwaves are next introduced into the chamber to create a cyclotron resonance in order to form a plasma of carbon and hydrogen containing gas. The carbon containing plasma deposits a coating of a crystalline and amorphous carbon on a substrate placed within the reaction chamber, while the hydrogen plasma simultaneously etches away the amorphous carbon, thereby leaving only crystalline carbon. The method is particularly adapted for the deposition of crystalline carbon films on plastic materials, as the substrate is not required to be heated in order to receive a layer of crystalline carbon.
    Type: Grant
    Filed: September 28, 1994
    Date of Patent: February 11, 1997
    Assignee: Semicondoctor Energy Laboratory Co., Inc.
    Inventor: Shumpei Yamazaki
  • Patent number: 5597625
    Abstract: A method for forming thin films of cubic boron nitride on substrates at low pressures and temperatures. A substrate is first coated with polycrystalline diamond to provide a uniform surface upon which cubic boron nitride can be deposited by chemical vapor deposition.The cubic boron nitride film is useful as a substitute for diamond coatings for a variety of applications in which diamond is not suitable. any tetragonal or hexagonal boron nitride.The cubic boron nitride produced in accordance with the preceding example is particularly well-suited for use as a coating for ultra hard tool bits and abrasives, especially those intended to use in cutting or otherwise fabricating iron.
    Type: Grant
    Filed: October 3, 1995
    Date of Patent: January 28, 1997
    Assignee: California Institute of Technology
    Inventors: Tiong P. Ong, Yuh-Han Shing
  • Patent number: 5595792
    Abstract: A method and apparatus for producing a magnetic recording medium in which production of flakes in a film-forming process and the occurrence of arc discharge in the film-forming surface of a substrate are prevented to thereby attain improvement in the quality of the resulting film and in producing efficiency. A web-like substrate is made to run in a vacuum chamber while the substrate is arranged opposite to a sheet-shaped plasma stream. At the same time, a reactive gas is supplied to the plasma stream while an electric field is generated in a direction crossing the plasma stream and the substrate, which are arranged opposite to each other to thereby form a thin film on the plasma stream side surface of the substrate. Accordingly, the production of flakes is prevented, so that the occurrence of arc discharge in the film-forming surface of the substrate is prevented.
    Type: Grant
    Filed: August 23, 1995
    Date of Patent: January 21, 1997
    Assignee: Fuji Photo Film Co., Ltd.
    Inventors: Makoto Kashiwaya, Junji Nakada
  • Patent number: 5593740
    Abstract: A method and apparatus for making carbon-encapsulated ultrafine metal particles, in which metal powder intended for encapsulation is injected at a rate up to 25 grams per minutes into a plasma arc so that the metal powder is vaporized. The vaporized metal powder is then mixed with an active gas flow, which consists of hydrocarbons, filled in the surrounding area of the plasma arc, and thereby the vaporized metal powder and the active gas interact continuously producing carbon encapsulated ultrafine metal particles that are remarkably predictable in particle size, distribution of sizes and atomic composition.
    Type: Grant
    Filed: January 17, 1995
    Date of Patent: January 14, 1997
    Assignee: Synmatix Corporation
    Inventors: Emil E. Strumban, Edward K. Dobrinsky, Anatoly A. Kuznetsov, Avetik H. Harutyunyan
  • Patent number: 5591484
    Abstract: The present invention concerns a process for manufacturing conductive diamond layers, in particular layers of diamond doped with boron which are obtained by chemical vapour deposition (CVD).The process of the invention consists of forming a layer of diamond doped with boron using amino-borane compounds.These boron compounds are of particular interest since they are non-toxic and easy to manipulate.
    Type: Grant
    Filed: October 2, 1995
    Date of Patent: January 7, 1997
    Assignee: Eastman Kodak Company
    Inventors: Olivier J. C. Poncelet, Jean-Jacques E. Garenne
  • Patent number: 5589232
    Abstract: A method for making a wear component that includes providing a base surface, producing a synthetic diamond film by plasma jet CVD having at least a particular equivalent strain, and applying the diamond film to the base surface.
    Type: Grant
    Filed: September 19, 1994
    Date of Patent: December 31, 1996
    Assignee: Norton Company
    Inventor: Matthew Simpson
  • Patent number: 5587207
    Abstract: An improved vacuum arc coating apparatus is provided, having a tube defining reaction zone with a plasma channel defined within a series of aligned annular substrate holders, or between an outer wall of an axial chain of substrate holder blocks and the inner wall of the tube. The substrate holders thus act as a liner, confining an arc within the plasma channel. Carrier and plasma-creating gases and the reaction species are introduced into the tube, and the deposition process may be carried out at a pressure between 100 Torr and 1000 Torr. Magnetic coils may be used to create a longitudinal magnetic field which focuses the plasma column created by the arc, and to create a transverse magnetic field which is used to bias the plasma column toward the substrates. Substrates can thus be placed anywhere within the reaction zone, and the transverse magnetic field can be used to direct the plasma column toward the substrate, or the tube itself can be rotated to pass the substrate through the plasma column.
    Type: Grant
    Filed: August 24, 1995
    Date of Patent: December 24, 1996
    Inventor: Vladimir I. Gorokhovsky
  • Patent number: 5580380
    Abstract: A method for making a field emitter comprising the steps of providing a projection; electrically biasing the projection; and exposing the electrically biased projection to a hydrocarbon containing plasma to form a layer of diamond nuclei on the projection. The diamond nuclei are relatively inert and have a high nucleation density. The projection is preferably a material capable of forming a carbide, such as (111) oriented silicon. Refractory metals may also be used for the projection. The electrical biasing is preferably at a voltage in a range of about -150 to -250 volts. The hydrocarbon containing plasma preferably comprises a plasma including about 2 to 5% by weight of methane in hydrogen. An intervening carbide layer is preferably formed at a surface of the projection and underlying the layer of diamond nuclei. The field emitter produced by the method and having a relatively high diamond nucleation density is also disclosed.
    Type: Grant
    Filed: January 30, 1995
    Date of Patent: December 3, 1996
    Assignee: North Carolina State University
    Inventors: Jiang Liu, Scott Wolter, Michael T. McClure, Brian R. Stoner, Jeffrey T. Glass, John J. Hren
  • Patent number: 5569501
    Abstract: The present invention relates to an improved method of depositing a diamond-like carbon film onto a substrate by low temperature plasma-enhanced chemical vapor deposition (PECVD) from a hydrocarbon/helium plasma. More specifically, the diamond-like carbon films of the present invention are deposited onto the substrate by employing acetylene which is heavily diluted with helium as the plasma gas. The films formed using the process of the present invention are characterized as being amorphous and having dielectric strengths comparable to those normally observed for diamond films. More importantly, however is that the films produced herein are thermally stable, optically transparent, absorbent in the ultraviolet range and hard thus making them extremely desirable for a wide variety of applications.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: October 29, 1996
    Assignee: International Business Machines Corporation
    Inventors: Fredric D. Bailey, Douglas A. Buchanan, Alessandro C. Callegari, Howard M. Clearfield, Fuad E. Doany, Donis G. Flagello, Harold J. Hovel, Douglas C. Latulipe, Jr., Naftali E. Lustig, Andrew T. S. Pomerene, Sampath Purushothaman, Christopher M. Scherpereel, David E. Seeger, Jane M. Shaw
  • Patent number: 5569487
    Abstract: Capacitors with high dielectric strength and low dissipation factor over a wide range of frequencies comprise two or more conductive layers separated by at least one dielectric layer. The dielectric layer is of silicon-doped amorphous hydrogenated carbon, with suitable dopants including silane (which is preferred), tetraalkoxysilanes and polyorganosiloxanes.
    Type: Grant
    Filed: January 23, 1995
    Date of Patent: October 29, 1996
    Assignee: General Electric Company
    Inventors: Michael W. DeVre, Steven M. Gasworth
  • Patent number: 5565249
    Abstract: A process for gas phase synthesis of diamond using a DC plasma jet where a plasma jet generated by DC arc discharge using a DC plasma torch is made to strike a substrate and grow diamond on the substrate, wherein use is made of a plurality of plasma torch anodes, these are arranged coaxially in a telescoped structure, a magnetic field is applied to these in accordance with need to cause the arc to rotate or the electrode is rotated so as to perform gas phase synthesis of diamond.
    Type: Grant
    Filed: May 7, 1993
    Date of Patent: October 15, 1996
    Assignee: Fujitsu Limited
    Inventors: Kazuaki Kurihara, Kenichi Sasaki, Tsukasa Itani, Motonobu Kawarada
  • Patent number: 5562952
    Abstract: In a plasma-CVD method and apparatus, plasma is formed from a film material gas in a process chamber and, in the plasma, a film is deposited on a substrate disposed in the process chamber. Formation of the plasma from the material gas is performed by application of an rf-power prepared by effecting an amplitude modulation on a basic rf-power having a frequency in a range from 10 MHz to 200 MHz. A modulation frequency of the amplitude modulation is in a range from 1/1000 to 1/10 of the frequency of the basic rf-power. Alternatively, the rf-power is prepared by effecting on the basic rf-power a first amplitude modulation at a frequency in a range from 1/1000 to 1/10 of the frequency of the basic rf-power, and additionally effecting a second amplitude modulation on the modulated rf-power. A modulation frequency of the second amplitude modulation is in a range from 1/100 to 100 times the modulation frequency of the first amplitude modulation.
    Type: Grant
    Filed: April 4, 1995
    Date of Patent: October 8, 1996
    Assignee: Nissin Electric Co., Ltd.
    Inventors: Takahiro Nakahigashi, Hiroshi Murakami, Satoshi Otani, Takao Tabata, Hiroshi Maeda, Hiroya Kirimura, Hajime Kuwahara
  • Patent number: 5547716
    Abstract: Laser energy is used to make precursors of crystalline materials, such as diamond, by providing an environment in which optical radiation may be efficiently absorbed to create significant precursor concentrations. In some instances this process is augmented by evaporating or liquefying a sacrifice to induce heterogeneous nucleation. In other cases two chemically and spatially distinct plasmas are juxtaposed to initiate the required chemistry.
    Type: Grant
    Filed: November 28, 1994
    Date of Patent: August 20, 1996
    Assignee: McDonnell Douglas Corporation
    Inventor: Stephen L. Thaler
  • Patent number: 5547714
    Abstract: A process forms an amorphous carbon film over a solid, which film has physical and chemical properties similar to those of diamond. Its ancillary objects are the solid bodies so coated and the self-sustained film obtained in a subsequent stage of dissolution of said substrate. The process includes generating a highly accelerated beam of carbon-hydrogenated ions, which beam is made to impact with sufficiently high energy on the surface of the substrate. The beam is concentrated by electrostatic lenses and homogenized by a magnetic mass separator. The process forms on a solid substrate, a film with properties similar to those of diamond, such as high hardness, high chemical stability, transparency, high heat conductivity and high electric resistivity; obtainable at ambient temperature, and which is simpler than known procedures.
    Type: Grant
    Filed: April 12, 1995
    Date of Patent: August 20, 1996
    Assignee: Comision Nacional de Energia Atomica
    Inventors: Hugo A. Huck, Alberto E. Jech, Ra ul Righini
  • Patent number: 5540957
    Abstract: A magnetic recording media comprising a non-magnetic substrate, a ferromagnetic thin film on the non-magnetic substrate, a hard carbon film on the ferromagnetic thin film, a modified layer of which atomic ratio of nitrogen/carbon is 0.8% or more, and of which thickness is less than 3 nm on the hard carbon film, and a lubricant layer on the modified layer, has excellent electromagnetic conversion characteristic, running stability, durability, and weatherability.
    Type: Grant
    Filed: June 7, 1995
    Date of Patent: July 30, 1996
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideyuki Ueda, Kenji Kuwahara, Hiroshi Seki, Kiyoshi Takahashi, Masaru Odagiri, Mikio Murai, Yukikazu Ohchi
  • Patent number: 5538765
    Abstract: A process for producing diamond includes a step of bringing a columnar cathode and a tubular pilot anode provided concentrically around the cathode, into proximity to a plasma jetting port of a front end portion of a tubular main anode provided concentrically around the pilot anode. According to the process, a voltage is applied across the cathode and the pilot anode to convert a pilot gas to the form of a plasma. Then, the cathode is moved away from the pilot anode along a common axis. The process also includes the step of holding the discharge voltage between the cathode and the pilot anode at a first preselected voltage, and then applying voltage across the cathode and the main anode to convert a main gas to the form of a plasma. Subsequently, at least the pilot anode is moved away from the main anode along the common axis while maintaining the discharge voltage between the cathode and the pilot anode at the first preselected voltage.
    Type: Grant
    Filed: April 5, 1995
    Date of Patent: July 23, 1996
    Assignee: Fujitsu Ltd.
    Inventors: Kazuaki Kurihara, Kenichi Sasaki, Tsukasa Itani, Motonobu Kawarada
  • Patent number: 5529815
    Abstract: A method for forming synthetic diamond coatings on surfaces, such as select surfaces of boat hulls, motor vehicle underbodies, chemical storage tanks and the like, which are subject to corrosion and/or erosion. In a preferred form, the apparatus is portable and is either hand-holdable or is carried by a self propelled vehicle or computer controlled automatic manipulator. In a particular form, the apparatus includes a chamber having an opening therein with a circumscribing rim adapted to be forced against a portion of the surface to be coated while one or more carbon atom, containing materials in gaseous and/or solid particle form are fed to the surface interior of such rin and form a synthetic diamond coating or the like thereagainst.
    Type: Grant
    Filed: November 3, 1994
    Date of Patent: June 25, 1996
    Inventor: Jerome H. Lemelson
  • Patent number: 5518766
    Abstract: A multi-layer diamond film is grown by d.c. arc assisted plasma deposition. A series of layers are deposited on each other by periodically back-etching the surface and renucleating during deposition. There may also be deposited a thin layer of non-diamond carbon material between the diamond layers, but no other non-carbon material. Renucleation is controlled by varying the proportion of methane to hydrogen in the feed gases, by temperature cycling of the substrate, or by inducing modal changes in the arc.
    Type: Grant
    Filed: September 2, 1993
    Date of Patent: May 21, 1996
    Assignee: Norton Company
    Inventors: Louis K. Bigelow, Robert M. Frey, Gordon L. Cann
  • Patent number: 5518759
    Abstract: A process for depositing diamond on a substrate using a microwave plasma generator including introducing a feed which includes diamond forming constituents in a desired ratio to the microwave plasma generator, and providing sufficient microwave power to the microwave plasma generator to produce a greenish-colored plasma which emits a spectrum monitored to maintain a relative emission intensity ratio of two of the constituents in a predetermined range, for depositing high quality diamond at an extremely high rate on the substrate placed proximate or in the plasma.
    Type: Grant
    Filed: January 23, 1995
    Date of Patent: May 21, 1996
    Assignee: Applied Science and Technology, Inc.
    Inventors: Evelio Sevillano, Lawrence P. Bourget, Richard S. Post
  • Patent number: 5516588
    Abstract: A composite body, especially for use as a cutting tool, for the lining of combustion chambers or for movable parts intended to have low wear which has a substrate of hard metal, steel, cermet or nickel or cobalt alloy. The substrate is provided with at least one fine-crystalline alpha-Al.sub.2 O.sub.3 layer deposited by plasma activated CVD at 400.degree. to 750.degree. C. With plasma activation by pulsed direct voltage with the substrate connected as the cathode.
    Type: Grant
    Filed: September 24, 1993
    Date of Patent: May 14, 1996
    Assignee: Widia GmbH
    Inventors: Hendrikus van den Berg, Ralf Tabersky, Udo Konig, Norbert Reiter
  • Patent number: 5516554
    Abstract: The steady state operating parameters of a low pressure cyclic hot-filament chemical vapor deposition process for making diamond, i.e., nucleation-growth and graphite removal, are applied as controlled sequential steps to favor nucleation and growth.
    Type: Grant
    Filed: November 10, 1993
    Date of Patent: May 14, 1996
    Assignee: General Electric Company
    Inventor: Steven M. Gasworth
  • Patent number: 5514242
    Abstract: A method for forming a heat-sinked electronic component includes the following steps: depositing, at a first deposition rate, a first layer of synthetic diamond having a relatively high thermal conductivity; depositing, on the first layer, at a second deposition rate that is higher than the first deposition rate, a second layer of synthetic diamond having a relatively low thermal conductivity; and mounting an electronic component on the first layer of synthetic diamond. Alternatively, the layers may be deposited in the opposite order.
    Type: Grant
    Filed: December 30, 1993
    Date of Patent: May 7, 1996
    Assignee: Saint Gobain/Norton Industrial Ceramics Corporation
    Inventor: Matthew Simpson
  • Patent number: 5510157
    Abstract: Making a diamond substance exhibiting a cathodoluminescence spectrum with the peak at a photon energy greater than 2.8 eV (electron volt), the half-value and 20%-value width not exceeding 0.5 eV and 0.8 eV, respectively, comprising: providing a substrate in a closed chamber, introducing a matrix gas comprising H.sub.2 and one selected from hydrocarbon and CO to said chamber, exciting the gas to create a plasma while heating said substrate to a temperature of at least 700.degree. C. and, thus, causing deposition and growth of diamond substance which is crystallographically diamond, controlling parameters of the deposition by means of the cathodoluminescence record and recovering the diamond substance from the chamber.
    Type: Grant
    Filed: November 6, 1991
    Date of Patent: April 23, 1996
    Assignees: Ishizuka Research Institute, Ltd., Ensei Ko, Osaka Diamond Industrial Co., Ltd.
    Inventors: Chia-Fu Chen, Kazuhito Nishimura, Ensei Ko, Hiroshi Ishizuka, Satoru Hosomi
  • Patent number: 5508368
    Abstract: An ion beam deposition method is provided for manufacturing a coated substrate with improved abrasion resistance, and improved lifetime. According to the method, the substrate is first chemically cleaned to remove contaminants. In the second step, the substrate is inserted into a vacuum chamber, and the air in said chamber is evacuated. In the third step, the substrate surface is bombarded with energetic ions to assist in the removal of residual hydrocarbons and surface oxides, and to activate the surface. Alter the substrate surface has been sputter-etched, a protective, abrasion-resistant coating is deposited by ion beam deposition. The ion beam-deposited coating may contain one or more layers. Once the chosen thickness of the coating has been achieved, the deposition process on the substrates is terminated, the vacuum chamber pressure is increased to atmospheric pressure, and the coated substrate products having improved abrasion-resistance are removed from the vacuum chamber.
    Type: Grant
    Filed: March 3, 1994
    Date of Patent: April 16, 1996
    Assignee: Diamonex, Incorporated
    Inventors: Bradley J. Knapp, Fred M. Kimock, Rudolph H. Petrmichl, Norman D. Galvin
  • Patent number: 5507987
    Abstract: A method for producing free-standing diamond film having a surface area of at least 1000 square millimeters includes the following steps: providing a substrate; depositing, on the substrate, by chemical vapor deposition, a first layer of diamond over a surface area of at least 1000 square millimeters, and to a first thickness, the first layer being deposited at a first deposition rate; depositing, on the first layer, a second layer of diamond, over a surface area of at least 1000 square millimeters, and to a second thickness, the second layer being deposited at a second deposition rate; and releasing the diamond from the substrate; the second deposition rate being as lest twice as high as the first deposition rate, and the first thickness being sufficiently thick to prevent the released diamond from bowing by more than a given distance.
    Type: Grant
    Filed: April 28, 1994
    Date of Patent: April 16, 1996
    Assignee: Saint Gobain/Norton Industrial Ceramics Corp.
    Inventor: Henry Windischmann
  • Patent number: 5496595
    Abstract: A magnetic recording medium comprising a back coating layer formed on a surface reverse to a magnetic layer and a carbonaceous film which is formed on the back coating layer and contains fluorine atoms and silicon atoms and/or nitrogen atoms and in which a concentration of fluorine atoms decreases in a depth direction from a surface of the carbonaceous film, while a concentration of the silicon and/or nitrogen atoms increases in the depth direction from the surface of the carbonaceous film, which recording medium has improved running stability, durability and weatherability while electromagnetic conversion characteristics are maintained.
    Type: Grant
    Filed: October 19, 1994
    Date of Patent: March 5, 1996
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Hideyuki Ueda, Kenji Kuwahara, Hiroshi Seki, Kiyosi Takahasi, Masaru Odagiri, Mikio Murai
  • Patent number: 5496596
    Abstract: A method for growing a diamond film, substantially free of voids, having an average crystallite size greater than about 15 microns, a maximum intensity of the diamond Raman peak in counts/sec divided by the intensity of photoluminescence at 1270 cm.sup.-1 greater than about 3, a Raman sp.sup.3 full width half maximum less than about 6 cm.sup.-1, and a diamond-to-graphite Raman ratio greater than about 25, includes the steps of preparing a substrate by abrasion with diamond particles; placing the substrate in a CVD reactor; depositing diamond during a first deposition stage by providing an atmosphere consisting essentially of a mixture of about 200 sccm H.sub.2 and 10 sccm CH.sub.4, at a pressure of about 90 Torr, providing between about 1,800 and 1,950 watts of microwave power at a frequency of about 2.45 GHz to ignite and sustain a plasma in the region of said substrate, and maintaining the substrate at a temperature of between about 625.degree. C. and 675.degree. C.
    Type: Grant
    Filed: January 28, 1994
    Date of Patent: March 5, 1996
    Assignee: Crystallume
    Inventors: John A. Herb, John M. Pinneo, Clayton F. Gardinier
  • Patent number: 5491002
    Abstract: Multilayer CVD diamond films are provided, wherein grain boundaries of the diamond layers are interrupted by renucleating and growing diamond on new nucleation sites comprised of metal. These nucleation sites are positioned on the interface between diamond layers. Methods for producing these multilayer CVD diamond films are also provided wherein the diamond growth on a substrate is interrupted by the deposition of metals which provide new nucleation sites. Diamond growth is then reinitiated.
    Type: Grant
    Filed: March 8, 1995
    Date of Patent: February 13, 1996
    Assignee: General Electric Company
    Inventor: David E. Slutz
  • Patent number: 5482602
    Abstract: One broad-beam ion deposition coating method (10) for depositing diamond-like-carbon (DLC) coatings (124) on the dynamic surfaces (120S) of articles (120) subject to adherence difficulties includes the steps of: (12) preliminarily conditioning the dynamic surface (120S) for broad-beam ion deposition; (14)inserting the article (120) in a deposition chamber (102); (16) evacuating the deposition chamber (102) to a predetermined base pressure; (18) ion sputtering conditioning of the dynamic surface (120) by ionizing an inert gas to form an ion beam (104B) having a predetermined beam current density and accelerating energy and directing the ion beam (104B) onto the dynamic surface; (20) depositing an interface layer (122) on the dynamic surface (120S) by ionizing a first gas to form an ion beam (104B) having a predetermined beam current density and accelerating energy, and directing the ion beam (104B) onto a target (118) to dislodge atoms therefrom, the dislodged atoms depositing on the dynamic surface (120S) to
    Type: Grant
    Filed: November 4, 1993
    Date of Patent: January 9, 1996
    Assignee: United Technologies Corporation
    Inventors: Clark V. Cooper, Charles J. Isabelle
  • Patent number: 5482748
    Abstract: A method for coating components such as cutting tools with diamond using a microwave plasma excited gas mixture in a reactor equipped with a bowl-shaped substrate table having a concave inner surface for supporting the components to be coated. The plasma forms a plasma ball during the coating operation and the geometrical shape, configuration and position of the table is adapted to stabilize the plasma and control the shape and position of the plasma in such a way that the outer surface of the plasma conforms substantially to the surfaces of the components to be coated.
    Type: Grant
    Filed: May 31, 1994
    Date of Patent: January 9, 1996
    Assignee: Sandvik AB
    Inventors: Staffan Soderberg, Hamid Shahani, Mats Sjostrand
  • Patent number: 5480686
    Abstract: A chemical vapor deposition (CVD) process and apparatus for the growth of diamond films using vapor mixtures of selected compounds having desired moieties, specifically precursors that provide carbon and etchant species that remove graphite. The process involves two steps. In the first step, feedstock gas enters a conversion zone. In the second step, by-products from the conversion zone proceed to an atomization zone where diamond is produced. In a preferred embodiment a feedstock gas phase mixture including at least 20% water which provides the etchant species is reacted with an alcohol which provides the requisite carbon precursor at low temperature (55.degree.-1100.degree. C.) and low pressure (0.1 to 100 Torr), preferably in the presence of an organic acid (acetic acid) which contributes etchant species reactant. In the reaction process, the feedstock gas mixture is converted to H.sub.2, CO, C.sub.2 H.sub.2, no O.sub.2, with some residual water. Oxygen formerly on the water is transferred to CO.
    Type: Grant
    Filed: November 12, 1993
    Date of Patent: January 2, 1996
    Assignee: Research Triangle Institute
    Inventors: Ronald A. Rudder, George C. Hudson, Robert C. Hendry, Robert J. Markunas, Michael J. Mantini
  • Patent number: 5478608
    Abstract: An improved vacuum arc coating apparatus is provided, having a reaction zone with a plasma channel defined within a series of aligned annular substrate holders, or between an outer wall of a chain of substrate holder blocks and the inner wall of the tube. The substrate holders thus act as a liner, confining an arc within the plasma channel. Carrier and plasma creating gases and the reaction species are introduced into the tube, and the deposition process may be carried out at a pressure between 10 Torr and 1000 Torr. Magnetic coils may be used to create a longitudinal magnetic field which focuses the plasma column created by the arc, and to create a transverse magnetic field which is used to bias the plasma column toward the substrates. Substrates can thus be placed anywhere within the reaction zone, and the transverse magnetic field can be used to direct the plasma column toward the substrate, or the tube itself can be rotated to pass the substrate through the plasma column.
    Type: Grant
    Filed: November 14, 1994
    Date of Patent: December 26, 1995
    Inventor: Vladimir I. Gorokhovsky
  • Patent number: 5476691
    Abstract: Surface modification of magnetic recording heads using plasma immersion ion implantation and deposition is disclosed. This method may be carried out using a vacuum arc deposition system with a metallic or carbon cathode. By operating a plasma gun in a long-pulse mode and biasing the substrate holder with short pulses of a high negative voltage, direct ion implantation, recoil implantation, and surface deposition are combined to modify the near-surface regions of the head or substrate in processing times which may be less than 5 min. The modified regions are atomically mixed into the substrate. This surface modification improves the surface smoothness and hardness and enhances the tribological characteristics under conditions of contact-start-stop and continuous sliding. These results are obtained while maintaining original tolerances.
    Type: Grant
    Filed: September 15, 1994
    Date of Patent: December 19, 1995
    Assignees: International Business Machines, Inc., Regents of the University of California
    Inventors: Kyriakos Komvopoulos, Ian G. Brown, Bo Wei, Simone Anders, Andre Anders, Singh C. Bhatia
  • Patent number: 5476693
    Abstract: There is disclosed a method for depositing a diamond film on a substrate which utilizes high density direct current glow discharge at a glow-arc transition region to form plasma between a cathode and an anode in a reactor, wherein the cathode maintains its temperature at a range of 2,100 to 2,300.degree. C. and is composed of a plurality of U-shaped filaments which are aligned parallel to one another to form an array and each of which is made by bending a conductive wire.
    Type: Grant
    Filed: November 25, 1994
    Date of Patent: December 19, 1995
    Assignee: Korea Institute of Science and Technology
    Inventors: Wook-Seong Lee, Young-Joon Baik, Kwang Y. Eun
  • Patent number: 5474816
    Abstract: Amorphous diamond films having a significant reduction in intrinsic stress are prepared by biasing a substrate to be coated and depositing carbon ions thereon under controlled temperature conditions.
    Type: Grant
    Filed: April 16, 1993
    Date of Patent: December 12, 1995
    Assignee: The Regents of the University of California
    Inventor: Steven Falabella
  • Patent number: 5474808
    Abstract: Methods for seeding and growing diamond films on planar and non-planar surfaced substrates and also for patterning the diamond films include mixing submicron diameter diamond particles and binder particles in carriers such as photoresist or water, and applying the mixture to a substrate surface. Treatment of the substrate by chemical vapor deposition then removes the carrier and grows the dispersed diamond particles into a diamond film. Notably, diamond particles having an average size of 25 nanometers form a particularly desirable mixture since the particles do not tend to settle out of the mixture, and also since these particles result in smooth diamond films. The mixtures can be applied onto non-planar surfaces by spraying, dipping, or dispensing and jet writing, and can be applied to planar and non-planar surfaces as a complete coating, as a line, or as a pattern.
    Type: Grant
    Filed: January 7, 1994
    Date of Patent: December 12, 1995
    Assignee: Michigan State University
    Inventor: Mohammad Aslam
  • Patent number: 5464665
    Abstract: Broadly, the present invention is directed to improving a chemical vapor phase deposition (CVD) method for synthesis of diamond wherein a hydrocarbon/hydrogen gaseous mixture is subjected to a combustion flame in the presence of oxygen to at least partially decompose the gaseous mixture to form CVD diamond. The improvement in process comprises subjecting said combustion flame to one or more of dielectric heating, d.c. discharge, or a.c. discharge. Dielectric heating can be accomplished by subjecting the combustion flame to microwave (MW) frequency discharge or radiofrequency (RF) discharge. By superimposing dielectric heating or d.c./a.c. discharge plasma generation on combustion flame process, the carbon utilization rate of the combustion flame process should improve substantially.
    Type: Grant
    Filed: June 9, 1993
    Date of Patent: November 7, 1995
    Assignee: General Electric Company
    Inventors: Thomas R. Anthony, James F. Fleischer
  • Patent number: 5464667
    Abstract: A process and apparatus for the plasma deposition of a carbon-rich coating onto a substrate is provided. This method includes the steps of: providing a substrate in a vacuum chamber; and generating a carbon-rich plasma in the vacuum chamber by injecting a plasma gas into a hollow cathode slot system containing a cathode made of two electrode plates arranged parallel to each other, providing a sufficient voltage to create and maintain a carbon-rich plasma in the hollow cathode slot system, and maintaining a vacuum in the vacuum chamber sufficient for maintaining the plasma. The plasma is deposited on the substrate to form a carbon-rich coating.
    Type: Grant
    Filed: August 16, 1994
    Date of Patent: November 7, 1995
    Assignee: Minnesota Mining and Manufacturing Company
    Inventors: Gunter A. Kohler, Seth M. Kirk, Gary J. Follett
  • Patent number: 5462775
    Abstract: A hard multilayer film structure comprises a titanium-containing compound layer possessing high wear resistance deposited on a substrate and a silicon-containing hard carbon layer possessing self-lubricating properties, high wear resistance and high resistance to heat. Deposition of these layers is effected by the plasma-enhanced chemical vapor deposition technique. As a raw gas for the deposition of silicon-containing hard carbon-layer, the gas containing tetramethyl silane or tetraethyl silane is used. Deposition of the silicon-containing hard carbon layer is carried out at a temperature of not more than 550.degree. C. and a pressure in the range of 0.05 to 0.5 Torr.
    Type: Grant
    Filed: March 7, 1995
    Date of Patent: October 31, 1995
    Assignee: Yoshida Kogyo K.K.
    Inventors: Minoru Yamada, Shingo Kawamura
  • Patent number: 5462776
    Abstract: A method of forming synthetic diamond or diamond-like films on a substrate surface. The method involves the steps of providing a vapor selected from the group of fullerene molecules or an inert gas/fullerene molecule mixture, providing energy to the fullerene molecules consisting of carbon-carbon bonds, the energized fullerene molecules breaking down to form fragments of fullerene molecules including C.sub.2 molecules and depositing the energized fullerene molecules with C.sub.2 fragments onto the substrate with farther fragmentation occurring and forming a thickness of diamond or diamond-like films on the substrate surface.
    Type: Grant
    Filed: July 6, 1994
    Date of Patent: October 31, 1995
    Inventor: Dieter M. Gruen
  • Patent number: 5458927
    Abstract: A process for forming an adherent diamond-like carbon coating on a workpiece of suitable material such as an aluminum alloy is disclosed. The workpiece is successively immersed in different plasma atmospheres and subjected to short duration, high voltage, negative electrical potential pulses or constant negative electrical potentials or the like so as to clean the surface of oxygen atoms, implant carbon atoms into the surface of the alloy to form carbide compounds while codepositing a carbonaceous layer on the surface, bombard and remove the carbonaceous layer, and to thereafter deposit a generally amorphous hydrogen-containing carbon layer on the surface of the article.
    Type: Grant
    Filed: March 8, 1995
    Date of Patent: October 17, 1995
    Assignee: General Motors Corporation
    Inventors: Gerard W. Malaczynski, Xiaohong Qiu, Joseph V. Mantese, Alaa A. Elmoursi, Aboud H. Hamdi, Blake P. Wood, Kevin C. Walter, Michael A. Nastasi
  • Patent number: 5456406
    Abstract: Fastening devices such as washers, seals and drive pins subject to corrosive deterioration of all or select portions thereof wherein all or such select portions are protected from such corrosion and failure by a thin coating or coatings of hard surface material or materials. In a preferred form, the fastening device is coated with a synthetic diamond material formed as a thin layer in situ thereon. In addition to preventing moisture and other corrosive material from penetrating to the base metal, such synthetic diamond material serves to preserve the surface integrity of the fastening device preventing the formation or spread of surface flaws produced during use or during the fabrication of the fastening device.
    Type: Grant
    Filed: November 24, 1993
    Date of Patent: October 10, 1995
    Inventor: Jerome H. Lemelson
  • Patent number: 5453168
    Abstract: A sputter-deposited wear-resistant protective coating for magnetic-recording alloy thin films is disclosed. The protective coating includes a protective layer and an interfacial adhesion layer. The protective layer is preferably titanium diboride or amorphous nitrided carbon, and the interfacial adhesion layer is preferably titanium, but can alternatively be other metals, such as zirconium or hafnium, which share characteristics similar to titanium. More broadly, the protective layer may be a nitride, carbide, or boride, or mixture thereof, of titanium, zirconium, hafnium, tantalum, vanadium, niobium, tantalum, chromium, molybdenum, or tungsten, and the interfacial adhesion layer may be the corresponding metal of the protective layer compound.
    Type: Grant
    Filed: August 25, 1993
    Date of Patent: September 26, 1995
    Assignee: Tulip Memory Systems, Inc.
    Inventors: Carl W. Nelson, Richard D. Weir
  • Patent number: 5451427
    Abstract: With the use of a novel method in which after a magnetic recording medium carrying a ferromagnetic metal thin film is heated, a protective layer is developed on the ferromagnetic metal thin film by a known plasma CVD technique while an out gas from the magnetic recording medium being eliminated by absorption, another method in which a ferromagnetic metal thin film and a protective layer are formed in succession within a vacuum chamber, or a further method in which after a non-magnetic substrate is heated, a ferromagnetic metal thin film and a protective layer are formed in succession within a vacuum chamber while an out gas from the non-magnetic substrate and the ferromagnetic metal thin film being eliminated by absorption, the atomic ratio of the hydroxyl group to a primary component metal element contained in the ferromagnetic metal thin film can be decreased at the interface between the ferromagnetic metal thin film and the protective layer.
    Type: Grant
    Filed: April 16, 1993
    Date of Patent: September 19, 1995
    Assignee: Matsushita Electric Industrial Co., Ltd.
    Inventors: Kiyoshi Takahashi, Mikio Murai, Masaru Odagiri